quartus9.0使用教程储存器内容编辑器使用方法

 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
【精品】QuartusⅡ操作基础
下载积分:880
内容提示:
文档格式:PPT|
浏览次数:10|
上传日期: 14:45:26|
文档星级:
该用户还上传了这些文档
【精品】QuartusⅡ操作基础.PPT
官方公共微信Quartus II简明教程94-第3页
上亿文档资料,等你来发现
Quartus II简明教程94-3
图10;图11;4、使用Verilog作为设计输入;本教程使用一个两路Led灯控制电路,如图12所示;图12;图13给出了12所示电路的Verilog描述;用QuartusII软件的文本编辑工具;图13;4.1使用QuartusII文本编辑器;本节介绍如何使用QuartusII文本编辑器;选择File&New弹出如图14所示对话框;图14;图15;图1
图10 图114、 使用Verilog作为设计输入本教程使用一个两路Led灯控制电路,如图12所示。本电路可以用两个开关x1和x2作为输入来控制一个LED灯。开关的闭合代表逻辑值1。电路的真值表在图12中一并给出。注意到该电路实现的正好是输入x1和x2的异或功能,但是我们将使用该电路的门级描述。 图12图13给出了12所示电路的Verilog描述。注意到这个Verilog模块叫做light,正好与图5中所填写的项目顶层模块名相匹配。这些代码可以使用任何的文本编辑器进行编辑并将其保存成ASCII文件,或者使用Quartus II软件的文本编辑工具。文件可以保存成任意的名字,但一般的设计惯例是使用与顶层模块同样的名字。文件的扩展名必须是.v,扩展名为.v表明它是Verilog文件,所以我们使用light.v作为文件名。 图134.1 使用Quartus II文本编辑器本节介绍如何使用Quartus II文本编辑器。如果你使用其它的文本编辑器新建Verilog源代码,你可以跳过本节内容,并将其命名为light.v。选择File & New弹出如图14所示对话框,选择Verilog HDL,点击OK。这样会打开文本编辑器窗口。首先需要为新建的Verilog文件指定一个文件名。选择File & Save As打开如图15所示的对话框。在Save as type中选择Verilog HDL File。在File name中填写light。并且勾选Add file to current project。点击Save,这样会将该文件保存在introtutorial文件夹,同时打开Text Editor窗口。 图14 图15 图16(在light.v标签上点击鼠标右键,弹出图窗口16-1所示,选择Detach Window,弹出如图16-2所示文本编辑窗口,点击最大化按钮,最大化文件编辑器)在Text Editor窗口输入图13所示的Verilog代码,点击File & Save或者通过快捷键Ctrl+s保存文件。包含各类专业文献、文学作品欣赏、专业论文、应用写作文书、中学教育、生活休闲娱乐、各类资格考试、Quartus II简明教程94等内容。 
 Lgxer 资料之 quartus 2 教程 目录 : Quartus II 的使用...1 1 工程建立...1 2 原理图的输入......  《Quartus II简明教程》适合初级者使用的,把Quartus II的使用说的很简单很明确...《Quartus II简明教程》适合初级者使用的,把Quartus II的使用说的很简单很明确....  Quartus_II 11.0简明教程_信息与通信_工程科技_专业资料 暂无评价|0人阅读|0次下载|举报文档 Quartus_II 11.0简明教程_信息与通信_工程科技_专业资料。哈理工...  QuartusII10.0简明教程_IT/计算机_专业资料。Quartus ii 10.0 教程说明 本文的部分章节,来源于本人翻译的 Terasic DE2-115 的英文入门文档。 平台 硬件:艾米电...  哈理工电子科学与技术系 王建民() Quartus II 简明教程前言 本教程是给 Quartus II 软件的初学者使用的入门级读物,主要翻译 Altera 公司 DE2 ...  QuartusII教程(完整版)_专业资料。QuartusII教程(完整版)Quartus II 的使用 ... 1 1 工程建立 ......  Quartus II 简明操作指南_信息与通信_工程科技_专业资料。来自东南大学电工电子实验...Quartus II的FPGA设计手... 50页 1下载券 Quartus_II使用教程1 137页 1下载...  QuartusII教程(完整版)_信息与通信_工程科技_专业资料。QuartusII教程Quartus II 的使用 ... 1 1 工程建立 ......  Quartus_II使用教程-完整实例_信息与通信_工程科技_专业资料。Quartus II 的入门教程,通过一个verilog程序实例进行介绍。Quartus Ⅱ入门教程(一个 Verilog 程序的编译...锁存器设计 用QuartusII或Altium designer用VHDL语言_百度知道
锁存器设计 用QuartusII或Altium designer用VHDL语言
把仿真文件穿过来描述下设计结构或者算法分析 源代码
我有更好的答案
按默认排序
高电平锁存的n位锁存器ENTITY my_latch IS& GENERIC(n:Positive := 8);& PORT (eg:IN &B& & & & &d:IN &Bit_Vector(n-1 DOWNTO 0);& & & & &q:OUT Bit_Vector(n-1 DOWNTO 0));END my_ARCHITECTURE latch_eg OF my_latch ISBEGIN& PROCESS(eg,d)& BEGIN& & IF eg='1' THEN& & & &q &=& & END IF;& END PROCESS;END latch_
其他类似问题
锁存器的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁第4章_Quartus_II使用方法_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
文档贡献者
评价文档:
第4章_Quartus_II使用方法
Q​u​a​r​t​u​s​_​I​I
把文档贴到Blog、BBS或个人站等:
普通尺寸(450*500pix)
较大尺寸(630*500pix)
大小:620.50KB
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢艾米电子 - 使用verilog设计的quartus ii入门指南
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
艾米电子 - 使用verilog设计的quartus ii入门指南
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口

我要回帖

更多关于 quartus9.0使用教程 的文章

 

随机推荐