二的整次幂dbx分频器器代码怎么解释

半整数分频器_百度百科
半整数分频器
本词条缺少概述、名片图,补充相关内容使词条更完整,还能快速升级,赶紧来吧!
半整数分频需要对输入时钟进行操作。基本的设计思想:对于进行n-0.5分频,首先进行模n的计数,在计数到n/2-1至n-1时,输出时钟赋为‘1’,回到计数0时,又赋为0,因此,因此,只要保持计数值n-1为半个输入时钟周期,即实现了n-0.5分频时钟,因此保持n-1为半个时钟周期即是一个难点。从中可以发现,因为计数器是通过时钟上升沿计数,因此可以在计数为n-1时对计数触发时钟进行翻转,那么时钟的下降沿变成了上升沿。即在计数值为n-1期间的时钟下降沿变成了上升沿,则计数值n-1只保持了半个时钟周期,由于时钟翻转下降沿变成上升沿,因此计数值变为0。因此,每产生一个n-0.5分频时钟的周期,触发时钟都是要翻转一次。得用这种方法得到的半整数分频时钟占空比是固定的,为N/2(N-0.5)*100%。当N越大时,占空比越接近50%。前提条件是输入时钟占空比是50%。基于VHDL的全数字分数分频器设计87
上亿文档资料,等你来发现
基于VHDL的全数字分数分频器设计87
第6卷第12期2006年6月;科学技术与工程;V01.6No.12;Jun.2006;1671―1815f2006112―1609―0;ScienceTechnologyandEngi;2006;Sci.Tech.Engng.;通信技术;基于VHDL的全数字分数分频器设计;尹辉炳;张涛;(中国科学院上海技术物理研究所.上海200083;摘要介绍了,全数字化的
第6卷第12期2006年6月科学技术与工程V01.6No.12Jun.20061671―1815f2006112―1609―04ScienceTechnologyandEngineering⑥2006Sci.Tech.Engng.通信技术基于VHDL的全数字分数分频器设计尹辉炳张涛(中国科学院上海技术物理研究所.上海200083)摘要介绍了,全数字化的分数分频器的两种设计方法.分析了它们的特点.然后采用VHDL硬件描述语言设计了全数字化的分数分频器,并且给出了设计任意分数分频器的方法。关键词VHDLFPGA/CPI.D分数分频器中图法分类号TN742.1:艾献标识码A在数字电路设计中.经常需要用到分频电路。一般的整数分频器可以很容易地通过D触发器或者其慨。=志≯。^=寿。模Ⅳ计数器来实现。但是.有时候电路中需要用到各种不同的频率.而时钟源通常只有有限的一两个.这时候就会碰到需要分数分频的情况。文献『1,2]中给出了一种实现半整数分频器的方法.这里通过对该电路进行建模和分析,对其进行扩展.得到更为一般的形式,使其能实现(N一1/M)分频。另外,根据文献fI1中分数分频的基本原理,设计了一种通用分数分频器.并且给出了确定分数分频器参数的网23.5分频器仿真波j髟方法。最后对这两种分数分频器进行了比较说明。1(Ⅳ_1肋)型分频器设计采用VHDL语言设计上述电路(令N=4).并进行仿真得到波形如图2所示.图中clkin为输入波形.比1.1基本原理文献『1,2]中给出了一种半整数分频器,其电锨五和clk―in可以得出:勘的每个周期中f.kgclk.in少路组成如图1所示,由一个异或门,一个模Ⅳ计数器,一个正脉冲。但是.观察模Ⅳ计数器输出temp.就可以及一个2分频器组成。以发现,实际上在矗的每个周期中£是ELelkin多了一个正脉冲j分析如下:没此时刻为图中五的第一个高电平下降沿前一刻,^=1,然后clkin由1变为0,导致异或门的输出£变为1,£的上升沿使模Ⅳ(模4)计数器计数.计数器结果加1。由于计数器计到了最大值,故clkout输出高电平1.elkout的上升沿又促使2分频器工作,使磊由1变为0,然后兀反馈回异或门得输人图1半整数分频器电路组成端,与cklin通过异或门使7:变为0.反馈使得‘由0变为1.以后经过一段时问又返回0。根据上面的分析.可以将异或门看作是频率加2006年1月16日收到第一作者简介:尹辉炳(1980一),男,江苏丹阳人,中国科学院上海技法器.于是可以得到半整数分频器如下的数学模型术物理研究所硕十研究生,研究方向:红外信号与信息处理。框图(图3)。万 方数据1610科学技术与工程6卷1.2.2反馈通道反馈通道中分频器的实现方式影响两种占空比波形的分布位置:由于D触发器的输出波形是对图3半整数分频器的数学模型l称的,即波形的占空比为1:1.这样£改变的时刻就分布比较均匀.因而两种占空比波形的分布也就比较均匀.而用模Ⅳ计数器实现则两种占空比的波形分布相对集中,以N=d.M=d实现3.75分频为例,图2和图4中反馈通道均采用两个D触发器级联实现.因而两种占空比的波形相间而排.图4中输出波形clkout由此可得系统的传递函数为:&:――L:~},二l一上×上Ⅳ-丢’Ⅳ2‘如果将图3中1/2换为1/M.即将2分频器换为M分频器.则可以得到系统传递函数:也=―三一,这样就/m是占空比分别为3:4与4:4的波形依次相间排列.图2中输出波形clkout是占空比分别为0.5:3与1:3的波形相问排列。图5中反馈通道采用了模4计数器进行4分频,因而7:变化分布不再均匀。所以输出波形clk中两种占空比的波形不再相问而排。outN一土M可以实现(Ⅳ一亩)型分频器,其中Ⅳ,M均为正整数。1.2分频器的实现与讨论由于电路结构非常清晰.很容易用VHDL语言实现。因为Z的增加发生勘的上升或者下降沿时刻,所蝴的波形必然会影响到输峨。的波形。对于某些分频数.比如Ⅳ或者M为2的幂次方的时候.分频器既可以用模Ⅳ计数器来实现.又可以用D触发器串联来实现。i.2.1前向通道前向通道中分频器实现方式主要影响输出波形的占空比.若前向通道采用D触发器.则输出波形趋于对称,通常输出波形占空比为N:N或者Ⅳ:fⅣ+11,具体情况由输出波形的周期相对于输入波形周期的倍数是否为整数决定。若输出波形的周期为输入周期的整数倍.则输出波形占空比为N:N,否则为Ⅳ:(N+I)。若前向通道采用模Ⅳ计数器实现.则输出波形高电平占0.5或者1个输入波形周期宽度,具体是0.5还是1.同样由输出波形周期是否为输入波形周期的整数倍决定。若为整数倍,则占1个输入波形周期宽度.否则占0.5个。图4是前向通道采用了2个D触发器级联实现4分频的仿真结果,输出波形elk―out占空比为3:4或者4:4.分别对应于输出周期为输入周期的3.5倍与4倍的情况。图2是前向通道采用模4计数器实现4分频的仿真结果.输出波形elkout的高电平所占宽度为0.5或者1个输入周期.分别对应于输出周期为输入周期的3.5倍与4倍的情况。图53.75分频器仿真波形图43.75分频器仿真波形(前向通道和反馈通道均采用D触发级联实现4分频)f前向通道和反馈通道均采用模4计数器实现4分频)以上讨论只是在理想情况下进行的,实际实现的时候还要考虑到两种整数分频方法的时延等实际电路的参数。2任意分数分频器设计2.1基本原理文献『1]中介绍了这种分数分频器的基本原理,即通过控制两种不同分频比出现的不同次数来获得所需要的分数分频。万方数据 12期尹辉炳,等:基TVHDL的全数字分数分频器设计1611设需要设计的分数分频值为a/b>lf当a/b<l时实际上是需要实现倍频功能.而a/b=1是平凡情况.这里均不加考虑,舶为整数的情况也不考虑).两种整数分频值分别为E和B=E―i,并且出现的次数分别为凡和m.则可以得到等式_一――一――――nnF。+mFmnR+,n似_一1)/1、L,1Dn+m凡+m通过变换很容易得到如下式子F一―a+―m。‰一6’Fm=Fn-1,(2)n=b―m..0<n,m<b由于只是一个整数,所以由(2)式中第1式、第4式能而且一定能唯一确定一个m=b一(Ⅱmodb),从而得到如下解。m=b一(Ⅱroodb),F。,,=I…a/5]+1,疋=[胡],(3)、7/z=aroodb其中f.]表示取整,omod6表示求。除以b的余数。如要设计一个5.1分频的分频器。则昭51,6=10,所以由式(3)可得m=9,E---6,F。=5,n=l。2.2任意分数分频器的实现分频器的实现主要由两个模块实现.一个模块是可预置数的可控双模分频器.另一个模块是可预置的波形发生器.其原理框图如图6所示。图6通用有理小数分频器原理图中有3个可设参数F。n。和m。,分别对应于2.1节中的参数E,凡和m。可预置数的可控双模分频器以及可预置的波形发生器的VHDL代码。2.2.1可预置数的可控双模分频器n,信号选择对输入波形是采用R一1分频还是疋分频,当n,=707时,选择E一1分频;当n,=~1时,选择E分频。分频值E大小为疋.的二进制译码值,由外部设万 方数据定。本文中E.为4位大小,即分频值E范围为2~15,可以很容易扩展到更大的分频范围.通过使用类属(generic)可以使代码更通用。2.2.2可预置的波形发生器波形发生器中m。和聪,的大小分别决定输出波形的每个周期中低电平与高电平所持续的输入时钟周期的个数,比如m,=1,hi=4表示输出波形每个周期中低电平持续1个输入时钟周期.高电平持续4个输入时钟周期。这里仍然以设计3.75分频的分频器为例.由公式(3)很容易得到参数E.=4,m=1,n=3,设定以上参数后仿真.得到仿真波形如图7所示。当/Zl为低电平时进行3分频,而n,为高电平时进行4分频,输出波形中每5个高电平为一个大周期.共持续15个输入时钟周期.因此每个输出波形持续时问平均为输入波形的3.75倍。图73.75分频器仿真波形文中介绍了设计分数分频器的两种方法、使用参考文献(下转第1616页)3结束语VHDL语言实现了这两种分频器,并进行了仿真。第一种方法能够设计的分频值必须满足Ⅳ一1脚的形式.具有一定的局限性。如果前向通道中的整数分频器能够采用D触发器实现(当N为2的整数次幂时),那么输出波形高低电平的持续时间会趋向平均:如果反馈回路中的分频器能够采用D触发器来实现(当M为2的整数次幂时),那么输出波形分布相对均匀:第二种方法能够实现任意的分数分频,具有更强的适应性.但是与第一种方法相比.在某些分频值下输出信号的抖动要大。1林海波.基于CPLD/FPGA的半整数分频器设计.国外电子元器!!!!科学技术与工程――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――一6卷:竺ResearchandApplicationofInternetNewCallCenterBasedSIPMechanismWANGXiangyu,LIUKe+,YeHui(HunanScience―TechnaologyProferssionSchool,Changsha410118)[Abstract]Thesituati。n。fSIPandcallcenterareintroduced,becausetheuserspayattenti。nto“serving”moreandmote,throughanalysisinternetcallcenterisregardedasthetrendofdevelopment.TheadvantageisanalyzedwhichcombineSIPprotocolwithJavaServlet.AndSIPServletmodelisstructured.thismodelisappliedtointernetcallcenter.Atlastthe7implementsmethodofinternetcallcenterisresearched.andonthebasisofthis,internetcallcentersoftwareisdesigned.[Keywords]SIPSIPservletsinternetcallcenterWebphoneJo、,ojodLⅨ―Ⅺ―K―矩―K―K―∞―Ⅺ―Ⅺ―Ⅺ―‰∞―Ⅺ―n。。JmJojooojbjojmJm∞jcIⅨ―K―n。LoLjLo。JoJb∞。ooL∞(上接第1611页)件,2004;(9):68―702004;(5):30~312高培军.基于FPGA的多种形式分频的设计与实现.今日电子3边计年,NVHDL设计电子线路,北京:清华大学出版社.2000TheDesignofTotalDigitizedFractionalFrequencyDividerBasedonVHDLYINHuibing,ZHANGTao(ShanghaiInstituteofTechnicalPhysics,ChineseAcademyofSciences,Shanghai200083)[Abstract]Twomethodsofdesigningtotaldigitizedfrequencydividerareintroducedandtheircharacteristicsareanalyzed.ThenthetotaldigitizedfactionalfrequencydividerusingVHDLhardwaredescriptionlanguageisimplementedandthemethodofdesigningarbitraryfractionalfrequencydividerissupplied.[Keywords]VHDLFPGA/CPLDfractionalfrequencydivider万 方数据包含各类专业文献、幼儿教育、小学教育、各类资格考试、专业论文、高等教育、文学作品欣赏、应用写作文书、基于VHDL的全数字分数分频器设计87等内容。
 分频器设计 VHDL_信息与通信_工程科技_专业资料。用VHDL设计分频器实 验报告 课程名称 电子设计自动化实验(基于 FPGA) 实验项目 分频器设计(计数器设计) 实验仪器...  基于 VHDL 的数字秒表设计 设计一个数字秒表,主要由显示译码器、分频器、十进制...清华大学出版社,2004 EDA 设计实习成绩评定表 评定项目 内容满分评分总分 学习...  在数字系统的设计中,设计人员会遇到各种形式的分频需求,如整数、小数、 分数分频...本论文利用 VHDL 硬件描述语言,通过 QuartusⅡ7.2 开发平台,设计了一种能满足...  半整数分频器 1 引言 在数字系统设计中,分频器是一种基本电路。整数分频器的...基于VHDL的小数分频器设... 2页 免费 三、半整数与奇数分频器... 3页 免费...  VHDL实现分频器_信息与通信_工程科技_专业资料。采用硬件描述语言进行分频器设计在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信 号。我们知...  VHDL 分频器设计_电子/电路_工程科技_专业资料。南京工程学院通信工程学院 实课...成绩评定 指导教师签字 年 月 日 一、实验目的和要求 1、熟悉时序电路设计方法...  洛阳理工学院实验报告系部计算机与信息工程系 班级 学号 姓名 实验日期 成绩
课程名称 实验名称 实验目的: PLD 原理与应用 实验四 分频器的 VHDL ...  (VHDL实验报告)模值12计数器、分频器的设计_电子/电路_工程科技_专业资料。...电子科技大学成都学院学院 标准实验报告 (实验)课程名称 数字电路 EDA 设计与...  基于VHDL的半整数分频器... 4页 3下载券 VHDL非整数分频器设计实... 6页 ...在数字电路中,常需要对较高频率的时钟进行分频操作 在数字电路中,常需要对较高...用户登录 |
密&&&&码:
记住用户名
下次自动登录
&&建议您只在个人私有的电脑上使用此选项。
绑定第三方账号登录&&
扫描二维码登录支持中心移动端
You are here:
如何理解二分频电路的梯形图呢?
手机登录找答案
热点活动:
已解决问题:
如何理解二分频电路的梯形图呢?
对扫描周期这一概念理解不是很透,导致这个梯形图程序看得不太懂,哪位前辈能够给一个比较好理解的方法呢?
问题补充:NW1:&&&&&&A&&&&&I&&&&&&0.0&&&&&&FP&&&&M&&&&&&0.0&&&&&&=&&&&&M&&&&&&0.1&&&&&//当I0.0有输入时,扫描会检测到,且更新M0.1的状态,因为M0.0是个上升沿检测位,所以只要I0..0有输入,M0.1都会置位一个扫描周期的时间,足以CPU被检测&到NW2:&&&&&&A&&&&&M&&&&&&0.1&&&&&&AN&&&&Q&&&&&&0.0&&&&&&O&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&//因为上面检测到M0.1状态是1所以在这里是1,Q0.0是0,所以Q0.0的常闭点也是1,因此能触发Q0.0置位&&&&&&&&AN&&&&M&&&&&&0.1&&&&&&A&&&&&Q&&&&&&0.0&&&&&&=&&&&&Q&&&&&0.0等下次扫描的时候,从第一段检测到M0,1的状态是0,所以下面M0.1是0,Q0.0是1,所以能触发Q0.0,(这里的Q0。0)之所以是1,是根据上一次Q0.0线圈被触发的结果!当再一次按I0.0的时候,还是按照这个样分析,就会复位Q0.0
产品版区:
悬赏分:5 | 解决时间: 20:53:14 | 提问者: -
问题ID:68541
不用看梯形图的话信号:上升沿--置位,下降沿--复位二分频:上升沿--置位,下次上升沿--复位&四分频:将二分频的输出在进行上升沿置位,下次上升沿--复位分频是单输入单输出的函数。级联的话可以得到2的整数次幂次分频。有其他分频数(比如5)的功能是大多是利用循环计数器来做。
提问者对于答案的评价:谢谢
本版精华问答
等待您来回答
作者/最新回复
最后回复时间
有动作时M0.1只能接通一个周期。当q0.0断开时按下i0.0,Q0.0接通,输出,下一个扫描周期M0.0是断开的,Q0.0是接通的,便形成了自锁。(输出会保持一个周期)
& 07:43:41
这个程序思路很好!二分频的程序是经常被用来考验学习PLC情况的经典问题。
& 17:16:39
上一页1下一页&
20秒帮我们优化支持中心!
欢迎您访问支持中心!
丰富的视频,全方位的文档,大量的网友交流精华……
为了更好的完善这些内容,我们诚邀您在浏览结束后,花20秒左右的时间,完成一个用户在线调查!
感谢您的支持!
欢迎您来到找答案
在“找答案”,已有超过7万个被解决的技术问题供您参考!
西门子技术专家亲自把关!常规的,简单的问题,在这里您都可以找到答案!
遇到了问题,就来“找答案”提问。
点击搜索框右侧的提问按钮,只需2步就能成功提交问题!分分钟就有无数大侠前来帮你。
在“找答案”每天都有大量的新问题。
别犹豫,只需要点击问题下方的“我要回答”就能参与。答题不光有积分相送,也会给您积攒大侠人气。
“找答案”定期开展各种有奖活动期待您的参与。
更有机会参加西门子高端用户峰会。领略西门子的博大精深!分频 编码_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
评价文档:
V​H​D​L​分​频​电​路
阅读已结束,如果下载本文需要使用
想免费下载本文?
下载文档到电脑,查找使用更方便
还剩9页未读,继续阅读
你可能喜欢有木有人帮忙看下2.5分频的代码啊 ,求解释 谢谢啦_verilog吧_百度贴吧
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&签到排名:今日本吧第个签到,本吧因你更精彩,明天继续来努力!
本吧签到人数:0成为超级会员,使用一键签到本月漏签0次!成为超级会员,赠送8张补签卡连续签到:天&&累计签到:天超级会员单次开通12个月以上,赠送连续签到卡3张
关注:1,711贴子:
有木有人帮忙看下2.5分频的代码啊 ,求解释 谢谢啦收藏
module divider_module(clkin,rst,clkout);
input clkin,
divider_fuct_module u1(clkin,rst,clkout);endmodule
module divider_fuct_module(clkin,rst,clkout);
input clkin,
reg [3:0] count1,count2;
reg clkA1,clkA2,clkB1,clkB2;
parameter N=5;
assign clk_re=~
assign clkout1=clkA1|clkA2;
assign clkout2=clkB1|clkB2;
assign clkout=clkout1^clkout2; always @(posedge clkin)
count1&=1'b0;
clkA1&=1'b0;
else if(count1&(N-1))
count1&=count1+1'b1;
if(count1&(N-1)/2)
clkA1&=1'b1;
clkA1&=1'b0;
count1&=1'b0;
end always @(posedge clkin)
clkB1&=clkA1;
always @(posedge clk_re)
count2&=1'b0;
clkA2&=1'b0;
else if(count2&(N-1))
count2&=count2+1'b1;
if(count2&(N-1)/2)
clkA2&=1'b1;
clkA2&=1'b0;
count2&=1'b0;
always @(posedge clk_re)
clkB2&=clkA2;
那有你这样的,求解释,你想要什么解释?总不能把整个程序给你讲一遍撒。。
登录百度帐号推荐应用
为兴趣而生,贴吧更懂你。或

我要回帖

更多关于 分频器电路图 的文章

 

随机推荐