AD10新建了net class后只要一编译ad10层次原理图图到PCB,新建的class就没有了,请问一下这是

为什么altium designer中新建原理图 project option用不了_百度知道
为什么altium designer中新建原理图 project option用不了
只有打开Project才可以,单一的原理图,所以Project Option选项不能用、单一的PCB都不是ProjectAD是根据打开的文件自动匹配相应的操作项的
其他类似问题
为您推荐:
altium的相关知识
其他2条回答
得建立项目。没有水,也就没有鱼,才能设置项目的选项
因为你设计的原理图没有经过编译在project选项里面第一个是compile project只有编译之后project option才可以用
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁> AD10画原理图编译时总线出错
管理员:&人数:4170小组积分:45152简介:欢迎PCB爱好者加入讨论,互相分享技术资料。小组公告小组暂无公告.&&|&&&&|&&
需要确认注册邮箱后才能下载,
楼主&&[求助]&
AD10画原理图编译时总线出错
1年会员勋章目前未领取。领取条件:&凡是注册时间一年以上的活跃用户即可领取该勋章。
总积分:112分
发表于 11:06
为什么用AD10画原理图时出现两条总线时编译会出错?duplicate& net& names& wire& N000-1(inferred),上网查了好多方法还是不行,要删掉一根总线才行,不知道是为什么,请各位大侠帮忙解决下!
--- 现有 1个主题,共 1页,目前第 1页 ---&&
点击,立即回复。
EDN China官方微信
扫一扫关注,获取电子新知,设计灵感
IC厂商小组
在线研讨会
赞助商链接
用python语言开发嵌入式程序。MicroPython学习、交流、DIY。
2016 EDN China -- Use of this website is subject to its terms of use.
京ICP备号-4 |
京公网安备37 |Altium designer(4)
网上有很多方法,大部分都是让直接新建一个pcb文件,这显然太不现实了。
上述错误可以看出,unknown pin 的错误是在add pin to net的时候发生的
failed to add class member 的错误实在add to component class member发生的
要想根本解决这个问题,要了解net和class的作用。
首先net的作用是在原理图中建立引脚间的无线的物理连接,也就是在原理图元件很多,没法走线时可用net标签来代替连线。
知道这个作用就明白了错误发生的原因了,就是因为原来pcb中已经包含了之前原理图的net标号。更新原理图后,导入pcb时,原有的net标号也重新导入了
导致了重复。
因此解决办法是在pcb界面下,点击design-netlist-edit list,然后删除所有的网络标号。这样原来pcb的net标号就被清楚了,然后重新导入即可。
其次是class,ad有很多种class,在这个错误中是component class 也就是元件类,意义就是将元件分类,比如top layer的元件和bottom layer的元件,就是两种类,那么报错的原因和net一样,因此只要删除原来pcb中的component class即可,design-class-component class ,然后右键单击你的原理图,删除即可。最后再重新导入pcb。
参考知识库
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:362次
排名:千里之外
原创:12篇

我要回帖

更多关于 ad10原理图生成pcb 的文章

 

随机推荐