iar for msp430 v6.4的JTAG驱动一直装不上,试过多个版本,5.2到6.4都试了,还是不行,提示如下。求解决方法!

查看: 9825|回复: 44
IAR for MSP430 V5.50 注册机
本注册机除支持IAR for MSP430 V5.50 的注册外,还支持更多软件,如下:
& & & & IAR Embedded Workbench For MSC-51 v8.10 (终版,下一个版本授权方式更改,暂时无法破解)
& & & & IAR Embedded Workbench For Atmel AVR v6.12
& & & & IAR Embedded Workbench For Atmel AVR32 v4.10
& & & & IAR Embedded Workbench For ARM v6.40
& & & & IAR Embedded Workbench For Renesas M16C and R8C v3.50
& & & & IAR Embedded Workbench For NEC 78K v4.71
& & & & IAR Embedded Workbench For MSP430 v5.50
& & & & IAR Embedded Workbench For Samsung SAM8 v3.20
& & & & IAR Embedded Workbench For Dallas Semiconductor/Maxim MAXQ v2.40
& & & & IAR Embedded Workbench For NEC V850 v3.80 (终版,下一个版本授权更改,暂时无法破解)
& & & & IAR Embedded Workbench For Renesas M32C v3.30
& & & & IAR Embedded Workbench For CR16C v3.10
& & & & IAR Embedded Workbench For Renesas R32C v1.31
& & & & IAR Embedded Workbench For Microchip PIC18 v3.10A
& & & & IAR Embedded Workbench For Microchip dsPIC v1.40A
& & & & IAR Embedded Workbench For Renesas RX v2.30 (终版,下一个版本授权方式更改,暂时无法破解)
& & & & IAR Embedded Workbench For ColdFire v1.23
& & & & IAR Embedded Workbench For HCS12 v3.20
& & & & IAR Embedded Workbench For HCS08 v1.20
& & & & IAR Embedded Workbench For STM8 v1.30
& & & & IAR Embedded Workbench For Renesas SuperH v2.20
& & & & IAR Embedded Workbench For Renesas H8 v2.30
& & & & IAR Embedded Workbench For Renesas RL78 v1.10 (终版,下一个版本授权方式更改,暂时无法破解)
【说明】1、当注册机运行于vista或者WIN7的系统下时,请右键点击然后使用管理员模式运行,或者将系统的UAC功能关闭后运行。
& && &&&2、此注册机针对的是IAR官网上下载的EV版(评估板),至于从其他渠道获得的CD版或者FULL版的软件,没有测试。
& && &&&3、本注册机仅限测试和学习IAR系列软件之用,请勿用于商业用途。
IAR for MSP430 V5.50 的下载链接:
注意:这个下载链接可能会于15天后失效,如果失效,请大家在IAR官网上重新申请下载链接!注意一定要申请 EV版的链接。
注册机的下载,如下:
本帖子中包含更多资源
才可以下载或查看,没有帐号?
這個找了很久,多謝樓主。
等待新版注册破解呢!IAR新版注册机制可能会逐步扩大,希望高手赶快跟进!
本帖最后由 ljmdzyx 于
22:18 编辑
老胡终于出手了,等很久了
顺便把更新附上:
New text editor and source browser
A new text editor and source browser are introduced in this version. The new features include auto completion, parameter hint, code folding, block select, block indent, bracket matching, zoom and word/paragraph navigation. The new source browser adds features like Go to Declaration and Find All References to symbols.
Support for TI ULP Advisor(TM) Software (from version 5.40.7)
TI ULP Advisor(TM) Software, the power-optimization teaching tool from Texas Instruments, is included in the IAR Embedded Workbench for MSP430 product. The integration enables you to control the TI ULP Advisor Software from within the project option settings in the IDE. The chosen rules are checked at each build, and the output is shown in the Build log window. Each TI ULP Advisor message includes a link to the source code and a link to information about the specific rule. Reference information about the TI ULP Advisor project options is provided in the online help system.
Custom SFR window
You can now define custom SFR's (special function registers) in C-SPY with selectable access size and type.
New device support
Support for these new devices have been added:
MSP430F6659
MSP430FR5949
MSP430FR5969
MSP430SL5438A
多谢LZ,刚好申请了一块430的板子~
刚好需要,明天电脑下载
一直收藏这个keygen
cool,顶顶顶顶
多谐楼主分享
好东西,支持一下,谢谢!
支持,好东西
楼主 你真是神人啊&&我找了好久了 谢谢 。。。
最新的,太感谢了!
非常强悍的支持
谢谢分享。
我的是V5.4,不知能不能用!
下载不了????
楼主太好了!
下载不了,盼望有人上传安装文件
非常感谢楼主,已经在使用哈
谁把IAR for MSP430 V5.50 上传到这里一下。和楼主的文件放到一起。大家用起来也比较方便
好东西必须顶!
谢谢分享!
更新也太快了.....
google找了一圈,还是回到论坛,看来还是多用论坛的搜索。
IAR for MSP430 V5.50 注册机
收藏备用,谢谢!
这个是好东西!
到某SDN网站下载了5.5的版本安装文件,可以用
我遇到,使用5.5版本的IAR后,提示仿真器需要升级固件,点选升级后,提示要拔掉再插入,点确定后继续升级,按照要求这样做了之后。再插上USB后,IAR就会提示硬件找不到了。
分析后是USB 的 PID 被修改了。为什么?
现在返回 IAR 5.3 使用了。
mk! 更新太快. 让我这强迫症都......
linbin250 发表于
我遇到,使用5.5版本的IAR后,提示仿真器需要升级固件,点选升级后,提示要拔掉再插入,点确定后继续升级, ...
& &升级到 5.5 PID被修改了是对了,&&PID被修改了,就需要装新的驱动。 软件让你拔下重新插仿真器就是让你安装新的驱动。&&但是新的驱动在精简板的系统里面是装不上的,这点要注意下, 也就是说 如果你的系统是精简板,就不要用 IAR 5.4 或者5.5了
huchunlei 发表于
升级到 5.5 PID被修改了是对了,&&PID被修改了,就需要装新的驱动。 软件让你拔下重新插仿真器就是让 ...
我用的 WINDOWS 不是精简版的, 是 WINDOWS XP SP2 联想 OEM 原版安装,之后升级到 SP3 的。
估计是另外的问题?是不是驱动没有搜索成功的原因?
暂时现在没有升级,等过段时间有空了,就去尝试升级 5.5 。 如果仍旧搞不定,再求助于你。如果搞定了,我告诉大家如何搞定的
先谢谢啦!
太感谢了,终于找到你了
谢谢楼主分享
本帖最后由 五木 于
16:27 编辑
下载的是官方的5.5版本,不知道这个能 和谐不!试试!
看来不行!没办法和谐! 官方实在太霸道了!
试试看,能用不。
阿莫电子论坛, 原"中国电子开发网"急!急!!我的32位win7系统装不了IAR FOR MSP430的仿真驱动,如图_百度知道
急!急!!我的32位win7系统装不了IAR FOR MSP430的仿真驱动,如图
com/zhidao/wh%3D450%2C600/sign=03af34defd464001aea6a/d6277f2fdc635bfc83.hiphotos.hiphotos.baidu.jpg" target="_blank" title="点击查看大图" class="ikqb_img_alink"><img class="ikqb_img" src="/zhidao/pic/item/d6277f2fdc635bfc83.hiphotos://b.com/zhidao/wh%3D600%2C800/sign=ab5c9ea62a60be5e1a18b87d6277f2fdc635bfc83.baidu.jpg" esrc="http://b<a href="http://b
提问者采纳
你可以去TI官网下载个CCS5.1免费的 W732完美兼容
比 IAR好用 内部资源非常丰富
并口 JTAG 在线仿真的 我以前用IAR也没法用仿真 (xp才行)现在用 CCS
提问者评价
其他类似问题
为您推荐:
其他2条回答
我发给你WIN7-32位系统的msp430驱动程序,重新装下驱动就好了,我上次也是碰到这个问题你给我个邮箱
系统软硬匹配不?驱动精灵试试!
您可能关注的推广
win7系统的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁轻松制作MSP430 JTAG Adapter - 利尔达技术论坛
注册日期: Feb 2004
来自: blue ocean
现金: 100 金币
资产: 100 金币
轻松制作MSP430 JTAG Adapter
------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
推荐查看“ 轻松制作MSP430 JTAG Adapter.rar ”内的 轻松制作MSP430 JTAG Adapter.pdf 文档。(其它与JTAG内容相关帖子里也有此文档,当时未找到发新帖的按键,惭愧)
希望大家能尽快跳出JTAG问题圈,我不是行家,有疑问请提出质疑。网上的资料,关键还是得看自己的判断,错误多多,不断纠正。
其它原文参考:
说明:wh-MSP430jtag完整图.sch 与 图中PCB为一一对应关系。
板上5脚器件为低压差DC/DC,3V输出(型号详参文档说明)。
另:力源免费电话可申请免费部分样片(如NCP500SN30T1、NCP511SN30T1)。
此JTAG应该是通用的。
注意在此sch图 /RST/NM 管脚处与以往网上提供的JTAG的不同,想象有何区别,时序。
注:此PCB是以利尔达
MSP430 Flash Emulation Tool-Interface Board :MSP-FETP430IF 1.3
为原型(在JTAG 2脚处加了一个跳线,便于供电选择选用),重新整理,制板的,适合制作的
实用可靠工具(有现成的PCB提供)。
若当地购买个别器件较难,可提出要求。最好到当地自己选购,实地购买器件对初学者也
可算是一种锻炼。建议接插件最好购买质量好点的!
JTAG的缺陷,不能加密(当前),调试还是很方便的。
引用网上:“TI一般推荐从并口取电的电流不要超过10mA,不然有可能对计算机并口,JTAG,目标板都会有影响。”有兴趣的可自己查看TI的资料。
以下内容来至论坛,具体可查看相关内容。
*********************************************************
只要没加密,配合上位机程序(如:MspFet),都可以从JTAG口读出程序,保存为TXT文件,包括并口调试器和USB接口调试器。利用IAR的EW430软件,选择FET Debugger并忽略下载,可以在反汇编窗口看到已经在FLASH内的程序。
如果大家找不到MspFet软件,我可以放到公司网站上。 武斌
*********************************************************
怎么样读MSP430 Flash中的内容
我用的是IAR Embedded Workbench for MSP430通过JTAG往MSP430上写程序。可是为了知道片内程序的版本,我想读出Flash中内容。什么工具软件可以通过JTAG口实现这个功能?
TI编程器和BSL,如果加密了,只能通过BSL来读了,不过你要知道中断向量表的32个字节的内容,即密码。
做个空程序的项目,然后在C-SPY选项里选择 保留未改变的区域,DEBUG后看MEMORY里的内容!
熔丝烧断了也可以吗?
熔丝断了的话,则用写空函数的方法就不可以了。
*********************************************************
wh: MspFet_16005_devel.zip 中MspFet的使用(我):
在tool/settings/current adapter settings下选择“FET direct access”(注意不要选择“FET MSP430.dll”),然后在器件选择里选对应的器件(我用133试的,对应MSP430F133”),读写没问题。
另:有谁选择“FET MSP430.dll”使用成功的,请赐教并上传相关需要用到的文件,谢谢。不同选择有何区别?
------------------------------------------------------------------------------------------------------
谢谢!这也是我学习,使用MSP430单片机的开始,今后多点拨点拨。
另:在今后的MSP430项目制板中,我已将现有的JTAG 2.54间距的插座改换成与此
结构相同的2.0间距的插座了(价格相当)。原有间距对我的PCB来说就像一个庞然大物,占据了
很大的一部分空间。当然还可自定义单排等方法缩小体积(依需要而定)。
------------------------------------------------------------------------------------------------------
“电源电压稳定还是有变化?JTAG内用的谁的电?狗的连接状况(可去掉狗,试试)??
怀疑电路还是得参看sch,一个现象可能有很多可能,但至少可分成两类:硬件或软件,至少你得确定?
我初用时,狗给我礼物就是毫无规律的下载故障现象:
提示,注意你狗的喂脚定义“如 此端脚高阻时,狗无效”。当MSP430芯片首次下载时,MSP芯片为高阻状态,狗无效,下载成功。
但再次下载时,狗动了(喂狗信号不再是高阻态了),这时就会出现一会好一会不好的现象了。至少这是一种可能。
狗的高阻 狗的高阻 狗的高阻 好像还是第一次提出!注意一下!!!!!!!!!!!!
“又是JTAG下载问题,目标板供电情况?共地独立供电还是其它。
若 出现Could not find targert! 用***示波器***(不是万用表)跟踪MSP430的供电,正常的负载情况下,电源应无变化才合理(即便是由FET板直接向目标板供电下,其电源也应无变化才对,若有变化,需考虑单独供电或由目标板向FET供电才对)。 调试软件,外围应有所了解才好。至于狗,可直接去掉(狗去掉后有无电阻电容复位电路?)判断即可。另注意一下FLASH编程的最低电压。”
对LOD DC/DC , 还可能有电流“倒灌”现象,即当其输入端无电压输入的情况下,若此时其输出端有电压,其输入端可能也会出现相同的电压“输出”。wh的又一新提法,可注意一下。
尤其是用FET向目标板供电时需注意!
-------------------------------------------------------------------------------------------------------
【求助】已经是第6块了,我该怎么办?
--------------------------------------------------------------------------------
这两天在拿430的F449与FG439的开发板做通讯实验。可是突然两块都烧不进程序了。
具体情况是Downloading aplication提示框消失后就弹出个红色错误提示框,说FATAL ERROR failed to write memory at 0x1100 session aborted
然后确定三个提示框后就回到原来的编辑环境了。
然后怎么试都是这样的结果,包括换电脑、换JTAG、换线、JTAG的复位。
后来把两块芯片都换了就好了。
好景不长,不到一个上午,F449的开发板又是同样的问题,然后就是换芯片、出问题、换芯片、出问题...在不到一天的时间里已经是第6块F449了,有的甚至只正常使用了一次,再这么下去,我想我会疯掉的。
开始怀疑是静电,但是后来换了防静电橡胶板,另外供电用外接稳压源、JTAG自带电源都试了,问题依然发生,真的不知道大家有什么好的办法。
本帖版权由 公牛 和 利尔达 共同拥有,未经许可不得转载
同意楼上仁兄的说法:“把电源和fet全部拔掉等个1分钟,再试试,我也碰到过,不行就时间长点。重要是接口部分一定要可靠,很多都是FET接口的原因”
不要急于换片子!片子应该都没有坏!可以用BSL烧写确认片子的好坏!
本帖版权由 baosange 和 利尔达 共同拥有,未经许可不得转载。
昨天回家把板子往自己的电脑上一插,一切又恢复了正常,实在不知道问题究竟在哪里,没有办法,只好把家里的并口线、IAR软件全部带到公司来,结果问题没有再出现,呵呵。
其实已经没有精力去研究问题究竟是在哪里了,只希望问题解决了就好,上天保佑!
谢谢各位对我的指点!
本帖版权由 公牛 和 利尔达 共同拥有,未经许可不得转载
应该属于IAR软件版本的问题
我用220的下载没出现问题 用310A的有时候就下载不了 也是报错 failed write to memory 0x1000
本帖版权由 yanzhongwang 和 利尔达 共同拥有,未经许可不得转载。
我是新手,说的不对不要BS
我好象也遇到了这样的问题,不过不知道是不是和你一样哦.
C-SPY的菜单&FET option&里有一个&Release JTAG on GO&选项,打上钩就行了.
本帖版权由 windowsb 和 利尔达 共同拥有,未经许可不得转载。
解决这类问题时,有个出发点,就是先假定“大牌的产品完全没有问题”或“MCU绝对是好的”。
本帖版权由 mmtcyq 和 利尔达 共同拥有,未经许可不得转载。
引用了这么多,问一点:是否软件版本也是下载失败的另一更多原因?
公牛 一直未提其单位和家里的版本是否相同?希望得到公牛的回复。
------------------------------------------------------------------------------------------------------
JTAG只是仿真调试用的一个接口,而所说的仿真器是指的一个MSP430-JTAG加一个相关的N(20、28、60、100)脚的目标板适配器。具体你可以到网站的首页去查看,都有非常详细的介绍的。
本帖版权由 yinpeng 和 利尔达 共同拥有,未经许可不得转载
240元的JTAG 好像可以仿真全系列的吧,,为什么差价,就不知道了
本帖版权由 xie0577 和 利尔达 共同拥有,未经许可不得转载。
F系列的芯片都可以仿
本帖版权由 ljl_xyz 和 利尔达 共同拥有,未经许可不得转载。
我用的JTAG 2个月就坏了,不知是不是JTAG 的质量不如仿真器呢
本帖版权由 xie0577 和 利尔达 共同拥有,未经许可不得转载。
几千块的仿真器与240块的FET,从我购买的比较的话,质量区别很大。至少我买的3千多配的FET与后买的240FET在制板及焊接上就不是一个级别的!至于供下载用的FET(连接JTAG接口),其主电路是一样的,而且我240买的FET,其内部多了6脚和10脚的电路,据说是老期版本用的,且PCB为自动布板(布板质量很差的,不知哪位的杰作?)。
文章开头我推荐初学或需多个使用的PCB就是仿第一次购买的FET制作的!
__________________
左 腿 的 左 , 左 腿 的 腿 . . .
心若改?......你的?度跟著改?
?度改?......你的??跟著改?
??改?......你的性格跟著改?
性格改?......你的人生跟著改?
注册日期: Feb 2004
来自: blue ocean
现金: 100 金币
资产: 100 金币
--------------------------------------------------------------------------------------------------------
MSP430系列单片机采用标准JTAG接口,JTAG是一种标准(IEEE 1149.1),是为测试芯片而制定的,目的是用TCK、TDI、TDO和TMS四个信号来测试芯片的内部状态,为什么测试芯片还需要专门制定标准呢?这是因为复杂芯片引脚太多,特别是还有些芯片一旦安装到多层电路板上就无法看到引脚,更不要说测量了,这时就可以在计算机软件的支持下通过JTAG接口,对芯片进行测量,如果各个公司的芯片都符合该标准,就可以将各个芯片的JTAG口串联起来(外国人称为菊花链),无论在电路板上有多少芯片,只需4个引脚,就可以测量电路板上的所有芯片。既然可以测量芯片,当然可以将数据写入芯片,在可编程逻辑器件的数据下载中也使用JTAG接口,出现了在系统编程(ISP)的概念,也就是,即使可编程逻辑器件安装到了系统中,也可以对其内部电路进行修改,JTAG技术和EDA软件的进步,使可编程逻辑器件的开发与使用得到快速发展。单片机也是在向这个方向努力,前几年出现在市场上的C8051单片机就是使用JTAG接口的单片机,不幸的是该单片机JTAG接口装置和开发软件很贵,阻碍人们使用该单片机。
使用JTAG口,必须在计算机与芯片JTAG接口之间连接一个接口装置,该装置随芯片而异,实际上JTAG接口装置都是很简单的(就是一个缓冲器),但是由于各个公司的早期产品不完全支持JTAG接口,而JTAG接口装置又必须兼容这些早期产品,就使得JTAG接口装置变的复杂了。
目前,TI公司的MSP430系列芯片就是支持JTAG接口的单片机,该公司称该JTAG接口装置为FET,通过FET就可以对该系列单片机编程与仿真。特别是该接口非常简单,适合,网上很多该单片机爱好者就是使用的FET开发该系列的单片机,非常成功。
载至重庆大学论坛
-------------------------------------------------------------------------------------------------------
“MSP430-JTAG doesn’t need external power supply, as MSP430 microcontrollers require only 3-5 mA while programming and all necessary power supply is taken from the LPT port.”
0227,自我统计:“轻松制作MSP430 JTAG Adapter.rar”共下载了96次(包括其它相关帖子里的此文档)
怪了,我的现金和资产成负数的了,系统没提示要钱啊?怎么回事。碰到看不了的,就不看了。
有些帖子,当点数不够时,看不到。但当点数够时,没有提示用户就打开了(并且扣了点数),系统快改改吧!!!!!! 不改的话,要不改天发个黑收钱的帖子,起个诱人的名字,也挣挣“黑钱”?
这里提供的附件不需任何条件(全部免费),网络互连,就是为了共享,大不了想了解一下对方的地址,要求输入联系方式就得了(支持这样的做法)。
提倡“很冷,没关系,照旧,继续(免费)”精神!!!!!!
-------------------------------------------------------------------------------------------------------
MspFet_16005_devel.zip 中MspFet的使用(我):(见上)
突然发现,重新编辑不得分,依我看,重新编辑应得更多分才对,重新编辑操作会使得帖子的内容显得紧凑些,使得可读性更强。
碰着不提示就扣分的现象,不得以写以。
------------------------------------------------------------------------------------------------------
再说图中所示PCB对MSP430FX的适用性。
注册日期: Feb 2004
来自: blue ocean
现金: 100 金币
资产: 100 金币
申明: 以上帖子均由 wanghanqq
发表,任何人未经同意请勿转载.
由于论坛错误,导致 wanghanqq 原来发表帖子版面有问题,所以在这里我重新帖出.请wanghanqq
以及 论坛上所有用户 见谅.
为表歉意,将给 wanghanqq
论坛虚拟币 +1000
注册日期: Dec 2005
现金: 12552 金币
资产: 12552 金币
非常感谢! __wanghanqq
可惜1#、2#内的附件链接都无效了!重发附件!
上发附件后帖子宽度就不对了,改单发试试。好像是附件的自动调整有问题。问题找到原因了:一个回帖内含有两个或三个附件就会出现我原来的显示故障!!!
引用和自写:只是提供一种扩展思路的途径而已,至于对错,通过资料还是好判断的,更何况这个只是简单的接口电路而已。
对FET而言:jtag的2脚为FET从PC窃电并通过低压差DC/DC转换成3V(或其它值)的电源输出端,jtag的4脚悬空或是作为FET电源跟踪输入端(即内部HC244的VCC随外部输入变化)。以上为TI提供的部分电路的实际情况。在实际中,FET的制作参考图多、杂,对FET的管脚定义更是混杂(如有的将FET的jtag的4脚直接设计为FET的窃电输入端,当然可能是为了能提供更大的驱动电流)。这样,使用中需分别对待。补充一下:有的FET内的HC244无窃电部分,此时此IC需由外界提供电源,可能有些板会将2脚或4脚定义成对此片的电源引入端。若对手头JTAG电源提供不清楚的,打开你手中的FET工具,看看及知!
对:“轻松制作MSP430-JTAG-pter.pdf” 的料单补充:若不用到test信号,可把图中三极管以及与三极管相关的电阻也可省掉不焊了。
一般FLASH的檫除电压在2.7~2.8伏之间
文本内容有时效性,为了避免同名文档内容不同导致混乱,修正补充的内容均另建文档存在。这样在查看文档时,需注意连同相关的辅助文档一起查看。
里对文档的补充纠正说明!!!局部修改的pdf文档“轻松制作MSP430-JTAG-Adapter_1.pdf”参 #58 内文档,里面对器件的范围做了修正,强调注意4线与2线的适用对象,具体可查看“slau138c.pdf”的第5、28、66页内容。最近又更新的#79 :MSP430JTAG料单0607_附图.pdf
新#97 :“ 回没必要复杂.rar”
仍强调:(还有若作为生产,最好JTAG与BSL可合二为一的接口定义_没有标准_但可更随大伙_如咨询lierda推荐等)FET 主要是作为初学者或程序初始调测用的(当前不能加密)
。若作为生产工具,建议还是购买资格厂家的工具及相应软件为好!这样在使用中可得到良好的技术支持(除非您个人有开发相关工具及软件的能力)。
“轻松制作MSP430-JTAG-Adapter_1.pdf”下载连接
其它参考:“魏小龙老师430开发板电路图纸449 413 149下载 ”
“魏小龙老师的并口仿真器图纸以及开发板例程下载 ”
没有并口的可看看“msp430的USB仿真器(PCB已经上传)”
相比之下,并口结构简单(仅接口电路),成本低廉,适合有并口的PC“群”制作。与此相关帖子可参:
“轻松制作MSP430 JTAG Adapter”由于时间等原因,原文档中“百年有效”的在PCB(protel 格式)上发后取消。pcb 缺陷是没有电压更随部分(可参Ti 提供的原理图进行添加)。板是在四川超声投的(若有数量需要,可省掉光绘等费用),这个PCB 还有部分余板(寄完为止)。 注:PCB(protel 格式)已发至群空间。
和这个相关的PCB原档(99SE)下载 参见“#112楼”内容
注意:料单中
欧, 欧,0欧,
.3K,.1K,K,
0K,M, .1uF。
由于当时习惯的原因,上面易造成焊接错误,故此说明。
我是新手,请问开发msp430f413需要的开发工具有哪些,包括如何调试和下载程序。
:这个在lierda,
微控电子有书下
.......以及复开站的魏小龙老师,
.....爱好者等很多的,你搜索下。
.....爱好者等很多的,你搜索下。
详见下面附件
msp430并口仿真器.rar
------下载此贴附件最少需要拥有1金币,方有下载权限。------------下载此贴所有附件一共需要消耗5金币,下载第一个附件时会自动扣除。------
上传的附件
(346.5 KB, 3564 次查看)
被 wanghanqq 编辑.
原因: jtag的2脚 误写为 jtag的1脚 __已改正
注册日期: Dec 2005
现金: 12552 金币
资产: 12552 金币
MSP430FET图纸 版本可能不是最新,
参考-编程器的源代码和说明书.zip,
SLAU048B.PDF,slau138c.pdf
前后部分相关联的文档,
这里的99s格式的文档,
料单格式补充说明(虽然我提过,但还是有焊错的,故特写)...
------下载此贴附件最少需要拥有1金币,方有下载权限。------------下载此贴所有附件一共需要消耗5金币,下载第一个附件时会自动扣除。------
上传的附件
(1.68 MB, 2096 次查看)
(1.68 MB, 1847 次查看)
(1.59 MB, 1921 次查看)
被 wanghanqq 编辑.
注册日期: Dec 2005
现金: 12552 金币
资产: 12552 金币
原说明“MSPFET - FREE MSP430 flash programming utility
在tool/settings/current adapter settings下选择“FET direct access”(注意不要选择“FET MSP430.dll”),然后在器件选择里选对应的器件(我用133试的,对应MSP430F133”),读写没问题。另:有谁选择“FET MSP430.dll”使用成功的,请赐教并上传相关需要用到的文件,谢谢。不同选择有何区别?”
补充:“原想文件应在IAR软件下,但当时用的为Ti提供的IAR,未在其程序内找到需要的文件。安装IAR公司3.41A后,文件查找到了,将#71回帖内的文件放在MSPFET 目录下就可使用“FET MSP430.dll”(还是用133试的)”。现在就“BSL”没试用过了,还待补充。
------下载此贴附件最少需要拥有1金币,方有下载权限。------------下载此贴所有附件一共需要消耗5金币,下载第一个附件时会自动扣除。------
上传的附件
(159.6 KB, 1220 次查看)
被 wanghanqq 编辑.
注册日期: Dec 2005
现金: 12552 金币
资产: 12552 金币
用下面的马蹄头水平横着拉焊(酒精松香焊剂下),很快的。“相片聚焦一般,凑合看吧。”
被 wanghanqq 编辑.
注册日期: May 2004
现金: 506 金币
资产: 506 金币
作者: wanghanqq
暂时无疑问提出,待:
下图为我焊接MSP430F149芯片的烙铁头(内凹),稍后附上焊接样图。
wanghanqq,你好。看了这个帖子,觉得很好,对于JTAG很有帮助。因为我已经买了一个FET了,觉得还好用,若再需要,决定按此自己弄一个。谢谢!
此外,对你的这个烙铁头很感兴趣,带内凹的马蹄形烙铁头是你自己加工的还是有现成市售产品?
一般市售的尖头烙铁头无论价格多贵(我曾花了几十元买了一个“白光”的烙铁头)虽然不会产生电热氧化腐蚀,但上锡很困难。用海绵毡后勉强上锡,一会儿又结垢了,而且即便勉强上锡也很不均匀。想了解一下你是如何处理烙铁头的?还有关于“酒精松香焊剂”的配置比例是多少?望不吝赐教,十分感谢!!
注册日期: Dec 2005
现金: 12552 金币
资产: 12552 金币
谢谢您的支持,互学吧,各有所长。若不是看到市售50元的JTAG的“粗糙”,我也不会有此想法,方便大家,方便自己吧。可惜挂号等邮费所占比例较高(若邮寄数量少的话)。
说到 烙铁头,外形上技术含量高吗?整天喊着赶超赶超!中国造电烙铁的的厂家“没人了?”,就会传统的平头马蹄烙铁头的制作(其做工还很差),都说中国人聪明,脑子不知又用到哪去了,别人的长处(或许根本就看不出别人设计的意图)就不能借鉴一下(若是专利问题,算我没说,道歉!)。图中所示烙铁头,为美国PACE恒温烙铁上用的,(参考价格:70元(很贵!),一般不单买,小包装5只或10只)。上面的只是我原来的焊接工具。若没有的话,普通吃锡好烙铁焊接也是很容易的,找机会看看身边会焊的人焊接是多看看
国内烙铁厂家或许都在量产低廉的器件挣大钱吧!!!希望能用到国产的质量上乘的内凹型马蹄烙铁头。
焊接及烙铁头的处理待找时间整理后上发。先简单的说:一般焊接常遇到的问题就是不沾锡,焊点不光滑。实在搞不定时用酸性焊膏试试-必要时清洗烙铁头用(千万不要用用砂纸打磨,若那样的话,头就更易氧化了,PACE配有专用的玻璃丝刷,但我感觉用酸性焊膏更好解决_但须不要经常清洗_否则可能也会缩短烙铁头的寿命),其实只要平时注意点,更本用不上这样处理的。焊点不光滑-助焊剂不够,酒精松香溶剂浓度不够或在焊接中途需补充助焊剂。助焊剂种类很多,现在很多现成的水溶性助焊剂也很好用,不过焊后要注意及时清洗干净(很多是呈弱酸或弱碱性的,加电后腐蚀会有的)
每个人有每个人的焊法(因焊接工具及其它条件的不同),以下附件为近期网上搜索到的焊接参考文档,[COLOR=**ue]希望对 cdzjh 有帮助[/color]。
酒精松香溶剂浓度我也没有一个固定的配比,以有一定的粘度为准(略浓点也好,因用酒精松香溶剂焊接后,待未干,及时清洗也很方便快速的,干后就不好清洗了!)。
有一种免清洗助焊剂使用起效果也很好,大家可试试。
焊锡量多少不是关键(有时太少易产生虚焊现象),关键要有一个吃锡良好的烙铁头(烙铁最好可调温度的,参考温度:318摄氏度左右)。另:首次焊接类似的片子是208脚的,当时将普通的烙铁头砸成扁平状(易于吃锡,将管脚上多余的焊锡带走,助焊剂为酒精松香,跟北京人学的)焊接的,稍后也附上附图。
[COLOR=**ue]对焊接温度的补充说明:根据焊接的对象以及外界环境的温度,对烙铁的温度设定需加一定的补偿,如将温度提高至诸如330度等,具体温度可看当时引脚过锡时的流淌状态确定。并不是温度设低就不会对芯片造成损坏,相反,由于温度低,导致加温时间的过长,可能对芯片以致PCB板会造成更大的破坏。[/color]
------下载此贴附件最少需要拥有1金币,方有下载权限。------------下载此贴所有附件一共需要消耗5金币,下载第一个附件时会自动扣除。------
上传的附件
(1.78 MB, 1477 次查看)
被 wanghanqq 编辑.
注册日期: May 2004
现金: 506 金币
资产: 506 金币
呵呵,谢谢回复!等待中....
注册日期: Dec 2005
现金: 12552 金币
资产: 12552 金币
回“MspFet?是什么东西?可以写BIN?
本帖版权由 lsdfae11
注明:以下文档只是其操作说明之一,仅供参考。
------下载此贴附件最少需要拥有1金币,方有下载权限。------------下载此贴所有附件一共需要消耗5金币,下载第一个附件时会自动扣除。------
上传的附件
(88.3 KB, 1262 次查看)
被 wanghanqq 编辑.
注册日期: Dec 2005
现金: 12552 金币
资产: 12552 金币
_____________因没有调整帖子先后次序的权利,故占用帖位2个,以备用。备用位1
器件代换,个别买不到表贴肖特基LL103A二极管的朋友,这里可用SMA DO-214AC封装的肖特基代替,PCB能焊得下。
料单补充:表贴钽电解225E 可用35V或25V或16V的;
J1处的2位排针买90度弯焊的排针;
表贴LL103A二极管买不到的话可用类似体积的肖特基管替换(可用SMA DO-214AC封装的肖特基代替,PCB能焊得下)即可。
被 wanghanqq 编辑.
注册日期: Dec 2005
现金: 12552 金币
资产: 12552 金币
参考引用:
“MSP430F449 JTAG 连不起来
--------------------------------------------------------------------------------
板子是吃 3.3V 我发现只要故意加入一行指令,SVSCTL = 0xd0 + PORON;AQ430就再也找不
到 MSP430F449 已经有两棵挂了(我猜VCC升到 3.6V就有机会救回) 本帖版权由 123fly
由于你打开SVS电源监测功能,监测电压设置0xd0,即为3.5V,而供电为3.3V,故一直处于复位状
态。必须设置监测电压低于3.3V 本帖版权由 lsdfae06 ”
被 wanghanqq 编辑.
原因: 自动显示调整有问题,没办法自加回车!
注册日期: Dec 2005
现金: 12552 金币
资产: 12552 金币
推荐查看利尔达帖子:“程序升级实例”。以下为部分引用:
对MSP430系列单片机进行编程的方式有以下三种:利用JTAG接口,利用BSL固件和利
用用户自定义的升级固件。由于利用自定义升级固件进行程序升级的方式比较灵活,并且用途广
泛,本文将对它作重点介绍。
1. 利用JTAG接口
MSP430系列的单片机都集成了JTAG接口,该接口实现了遵循IEEESTD1149.1规定的测试访问端
口状态机(TAP Controller)。它使用一个四线串行接口(TEST用于引脚较少的芯片)。数据或指令从
TDI(测试数据输入)移入;串行数据从 TDO(测试数据输出)移出; TCK(测试时钟)作为时钟信号输
入;TMS(测试模式选择)信号控制TAP 控制器的状态。利用该接口可以移入指令和数据,从而控制
目标芯片的地址线和数据线,达到读写目标芯片FLASH和仿真调试的目的[1]。另外TI现在推出了
新型的调试接口―SPY-BI-WIRE,它采用两线制,其中一根为数据线(双向),一根为时钟线。
利用该接口的优点是不需要设计额外的电路和程序,采用仿真器即可下载程序。缺点是一旦用户为
了保证代码的安全,烧断了JTAG的熔丝,那么就永久性的破坏了该接口,也就不能再使用该接口
被 wanghanqq 编辑.
原因: 自动显示调整有问题,没办法自加回车!
注册日期: May 2005
现金: 95 金币
资产: 95 金币
lierda官网上给出的ti原厂jtag那个PCB 少了根线...
另外555要用TLC555不能用NE555 ,电压不够
偷电电路也不是太好
建议还是做那个简化版的jtag..
lierda的jtag也是简化版的
请输入出现在右边图片上的六位数字或字母(不区分大小写)
当前查看此主题的会员: 1 (0 位会员和 1 位游客)
您不可以发表新主题
您不可以回复主题
您不可以上传附件
您不可以编辑您的帖子
论坛禁用 HTML 代码
用户控制面板
会员在线状态
【A】利尔达互动区
利尔达活动场
【B】技术在线:排难解疑 技术讨论
MSP430微控制器与32位微处理器
物联网技术应用专区
【C】采购区:小批量采购
采购销售讨论区
【D】休闲区:放松精神,轻松聊天
【E】论坛管理区:提出建议和意见关于论坛
相似的主题
MSP430微控制器与32位微处理器
MSP430微控制器与32位微处理器
MSP430微控制器与32位微处理器
所有时间均为北京时间。现在的时间是 。
版权所有(C) 利尔达科技有限公司 电话:86-571- 传真:86-571-
邮编:310011
地址:杭州市登云路425号利尔达科技大厦

我要回帖

更多关于 iar msp430 v6.4 的文章

 

随机推荐