求个verilog编写状态机的八选一数据选择器程序

 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
8选1数据选择器
下载积分:30
内容提示:8选1数据选择器
文档格式:PDF|
浏览次数:133|
上传日期: 19:22:25|
文档星级:
该用户还上传了这些文档
8选1数据选择器
官方公共微信verilog语言编写八选一数据选择器_中华文本库
第1页/共6页
Verilog——八选一选择器 八选一选择器
一、 实验目的
编写一个八选一的选择器,并在verilog软件上进行仿真。
1、 源代码
(1)用数据流描述的八选一多路选择器模块,采用了逻辑方程
module mux8_to_1(out,i0,i1,i2,i3,i4,i5,i6,i7,s2,s1,s0);
input i0,i1,i2,i3,i4,i5,i6,i7;
input s2,s1,s0;
assign out=
s2?(s1?(s0?i7:i6):(s0?i5:i4)):(s1?(s0?i3:i2):(s0?i1:i0));
(2)用数据流描述的八选一多路选择器模块,采用了条件操作语句
module mux8_to_1(out,i0,i1,i2,i3,i4,i5,i6,i7,s2,s1,s0);
input i0,i1,i2,i3,i4,i5,i6,i7;
input s2,s1,s0;
assign out=(~s2&~s1&~s0&i0)|
(~s2&~s1&s0&i1)|
(~s2&s1&~s0&i2)|
(~s2&s1&s0&i3)|
(s2&~s1&~s0&i4)|
(s2&~s1&s0&i5)|
(s2&s1&~s0&i6)|
(s2&s1&s0&i7);
第1页/共6页
寻找更多 ""君,已阅读到文档的结尾了呢~~
八选一数据选择器
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
八选一数据选择器
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer--144.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口实验二-4选1数据选择器_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
实验二-4选1数据选择器
上传于||暂无简介
阅读已结束,如果下载本文需要使用0下载券
想免费下载更多文档?
定制HR最喜欢的简历
你可能喜欢

我要回帖

更多关于 怎么编写verilog测试 的文章

 

随机推荐