块RAM 和 分布式与集群的区别RAM的区别

FLEX10K系列EAD的应用
FLEX10K系列EAD的应用
发布: | 作者:-- | 来源: -- | 查看:328次 | 用户关注:
&&& 摘要:FLEX
10K是ALTERA公司研制的第一个嵌入式的PLD可编程逻辑器件系列。它具有高密度、低成本、低功率等特点,利用FLEX
10K系列CPLD可编程逻辑器件的EAB可在系统中实现逻辑功能和存贮功能,文中介绍了EAB的几个应用实例,同时给出了采用VHDL语言编写的VHD的文件及其具体代码。
10K是ALTERA公司研制的第一个嵌入式的PLD可编程逻辑器件系列。它具有高密度、低成本、低功率等特点,利用FLEX
10K系列CPLD可编程逻辑器件的EAB可在系统中实现逻辑功能和存贮功能,文中介绍了EAB的几个应用实例,同时给出了采用VHDL语言编写的VHD的文件及其具体代码。
嵌入式阵列块(EAB) FIFO 双端口RAM VHDL
FLEX 10K是ALTERA公司研制的第一个嵌入式的PLD,它具有高密度、低成本、低功率等特点,是当今ALTERA
CPLD中应用前景最好的器件系列之一。它采用了重复可构造的CMOS
SRAM工艺,并把连续的快速通道互连与独特的嵌入式阵列结构相结合,同时可结合众多可编程器件来完成普通门阵列的宏功能。每一个FLEX
10K器件均包括一个嵌入式阵列和一个逻辑阵列,因而设计人员可轻松地开发集存贮器、数字信号处理器及特殊逻辑等强大功能于一身的芯片。
EAB(Embedded Array Blocks)的概念源于门阵列的嵌入式功能,为了使复杂的功能在尽可能小的硅片上得以实现,通常需把定制的硅片放在门阵列基片之上。Altera公司首先把这一技术应用于FLEX
10K器件系列。
FLEX 10K中的嵌入式阵列由一系列具有实现逻辑功能和存贮功能的FAB组成。EAB是在输入、输出口上带有寄存器的RAM块,利用它可以非常方便地实现一些规模不太大的ROM、RAM、双端口RAM和FIFO等功能。
2 EAB的结构
每个FLEX 10K中的EAB均含有2048bit的RAM。另外,每个EAB单元中还包括数据区、总线和读/写控制等几部分。图1所示为EAB单元的内都结构。
数据区是EAB的核心部分,每个EAB包含2048bit的RAM,同时又可根据数据线/地址线的不同设置将其宽度调整为2048×1bit,1024×2bit,512×4bit,256×8bit等。
总线是指EAB中所包括的三条总线,即输入数据总线、地址总线和输出数据总线。其中输入数据总线可以配制成8bit、4bit、2bit或1bit位宽;地址总线同数据总线相适应,具有8bit、9bit、10bit或11bit位宽;而输出数据总线则与输入总线相对应,这三条总线都设计有同步/异步两种工作方式。
第三部分为读/写控制部分。当EAB用于异步RAM电路时,必须外加RAM写使能信号WE,以保证数据和地址信号满足其时序要求,而当EAB用作同步RAM时,它可以产生相对其全局时钟信号的WE信号。EAB的RAM与EPGA中的分布式RAM不同,FLEX
10k EAB能够信号可预测的定时关系,而且EAB的写使能信号(WE)即可与输入时钟同步工作,也可以异步工作。另外,EAB还包含用于同步设计的输入寄存器、输出寄存器和地址寄存器。EAB的输出可以是寄存器输出,也可以是组合输出,EAB
RAM的大小很灵活,因此,它既可以配置成256×8、512×4,也可以配置成48×1。FLEX
10K器件的EAB资源如表1所列。EAB的RAM资源较为丰富,可用来设计RAM、FIFO及双端口RAM等许多应用电路。
表1 FLEX 1K器件EAB资源
EPF10K10 EPF10K10A
EPF10K30 EPF10K30A EPF10K30B
EPF10K50 EPF10K50V EPF10K50B
EPF10K100 EPF10K100A EPF10K100B
EPF10K130V EPF10K130B
3 FLEX 10K的应用
3.1 RAM的设计
RAM的设计分同步RAM或异步RAM,当设计同步RAM时,DATA信号和ADDRESS信号应在EAB中锁存。包括WE在内,所有信号均应在EAB内完成,这样可以排除一些可能破坏数据时序的潜在故障。当WE信号被锁定为高时,EAB内部电路会产生一个与DATA信号和ADDRESS信号的建立和保持时间相匹配的写脉冲。而在用异步RAM方式时,一定要遵从以下几个原则:
(1)WE信号一定要避免那些可能会无意覆盖RAM区数据的时序。
(2)WE信号的建立与保持时间一定要与DATA和ADDRESS信号相适应。
(3)当WE=1时,ADDRESS信号不能发生变化。由于FLEX
10K器件都有多个EAB,所以可由多个EAB来构成所需要的RAM。
LPM(Library Of Parameterized Modules)是一个参数化的模块库,它是优秀的版图设计人员和软件人员智慧的结晶。通过修改LPM器件的某些参数,可很容易地到达设计要求。下面的RAM(VHD)文件是一个寄存器输入、寄存器输出的1k×8RAMr
VHDL设计代码:
Use ieee,std_logic_1164.
Use lpm.lpm-components.
Entity LL is Generic (data-width:integer:=8;addr-width:interer:=10);
Port (data:in
std-logic-vector(data-width-1downto 0);
addresss:in std-logic-vector(addr-width-1
downto 0));
Architcture RAM of LL is Begin
U1:lpm-ram-dp
Generic map (lpm-widthad=&addr-width,lmp-width=&data-width)
Prot map(data=&data,address=&address,we
data[ ]: 数据输入RAM
address[ ]:地址输入RAM
we: 写使能
inclock :同步输入时钟
outclock: 同步输出时钟
q[ ]: 数据输出
3.2 FIFO的设计
FIFO(First-in First-out)存储器可以从一个子系统把数据流传送到另一个子系统。它有输入和输出两个相对独立的端口,当存储器为非满载状态时,输入端允许许高速突发信息经输入缓冲器存入存储器,直到存满为止,只要存储器中有数据就允许将最先写入的内容依次通过输出缓冲器输出。FIFO可广泛应用于通信、打印机、微处理器等设备中,这些突发性的数据速率往往大于它们所能接受或处理的速率。通常这些系统需要一个缓冲器对高速数据进行存贮,直到较慢的处理进程准备好为止。但数据进入FIFO缓存的平均速率必须小于或等于数据输出速率。
每个EAB均提供了一个2048bit数据存贮区,输入寄存器可为读、写指针计数器存贮元素。周期共享的FIFO配置将在第一个时钟周期里启动一个读操作,并将数据锁存在输出寄存器中,然后在第二个时钟周期里写入,此时读出的数据在访问寄存器时仍有效,利用图2所示的交织EAB存贮功能可构成更高的全局时钟速率和更大的FIFO区域。同时通过把同一个存贮单元分布在不的地址范围还可以把几个FIFO功能集中在同一个EAB中实现。一个512×8且周期共享的FIFO的VHDL代码如下面的
FIFO.VHD文件所示:
Use ieee.std_ligic_1164.
Use lpm.lpm_components.
Entity LL is Generic(data_width:integer:=8;
numwords:integer:=256);
Port(data:in
std_logic_vector(data_width_1downto 0);
Wreq,rreq,clock,clockx2,clr,sclr:in std_
Empty,full:out std_
q:out std_logic-,vector(lpm-width-1 downto
Architecture FIFO of LL is
Generic map (lpm-width=&data-width,
Lpm-numwords=&numwords)
Port map(data=&data,wreq=&wreq,rreq=&rreq,clock=&clock,
clockx2=&clockx2,Clr=&clr,sclr=&sclr,empty=&
empty,full=&full,q=&q);
data[ ]: 数据输入FIFO
wreq: 写请求
rreq:读请求
clock:时钟,正极性边沿触发
clockx2: 倍频时钟,正极性边沿触发
clr: 复位清FIFO
sclr: 同步清零
q[ ]:FIFO数据输出
full:指示FIFO已满
Empty:指示FIFO已空
3.3 双端口RAM的设计
双端口RAM有两套独立的地址线、数据线和控制信号线,适用于需要高速共享数据缓冲器的系统,实际上它常作为双CPU系统的全局存储器。下面是DTRAM、VHD的文件代码:
Use ieee.std-logic-1164.
Use altera.maxplus2.
Entity LL is Generic (data-width:integer:=8;Addr-width:integer:=10);
Port(datal:in std_logic_vector(data-width-1
downto 0);
Datar:in std_logic_vector(data_width_1 downto
Addressl:in std_logic_vector(addr_width_1
downto 0);
Addressr:in std_logic_vector(addr_width_1
downto 0);
Wel,wer:in std_
Clock,clockx2:in std_
Busy:out std_
Q1,qr:out std_logic_vector(data_width_1
downto 0));
Architecture DPRAM of LL is Begin
U1:csdpram
Generic map(lpm_width=&data_width,Lpm_widthad=&addr_width,
Lpm_numwords=&1024)
Port map(data=&datal,datab=&datar,Addressa=&addressl,addressb=&addressr,
Wea=&wel,web=&wer,
Clock=&clock,clockx2=&clockx2,
Qa=&ql,qb=&qr,busy=&busy);
data[ ]:左端口数据输入
datar[ ]:或端口数据输入
addressl [ ]:左端口地址输入
addressr[ ]:右端口地址输入
wel:左端口写使能
wer:右端口写使能
clock:时钟,正极性边沿触发
clockx2:倍频时钟,正极性边沿触发
ql[ ]:左端口数据输出
qr [ ]:右端口数据输出
busy:忙信号,指左、右端口同时写同一地址
本页面信息由华强电子网用户提供,如果涉嫌侵权,请与我们客服联系,我们核实后将及时处理。
应用与方案分类
&&& 目前,处理器性能的主要衡量指标是时钟附录:三星 I6500U 官方数据(联通定制机) 机身内存 约 180MB 说明:这里的机身内存并非 ROM 或者 RAM,而是手机剩余存储容量,三星公司的说法是 约 180MB,事实上...
RAM 的容量又分标称容量、实际容量和可用容量三种, 标称容量即我们看手机参数的容量,即 1G、2G 这些。实际容量会比标称容量少一 些,这其除了一些小量的损耗(...
内存器件介绍之RAM篇_IT/计算机_专业资料。提到内存,相信大家都不陌生,几乎所有的计算机系统中都有它的身影,按照内 存的工作原理划分,可将内存分为 RAM 和 ROM ...
存储体或 RAM 的容量:存储单元的个数*每个存储单元中数据的位数。 例如,一个 10 位地址的 RAM,共有 210 个存储单元,若每个存储单元存放一位二进制信息, 则...
RAMRAM 即内存, 主流的手机有 512M、 768M、 1G、 等容量, 2G 所以一些用户所说的“16G 内存”当然不是指 RAM 了。RAM 的容量又分标称容量、实际容量和...
RAM 的容量又分标 称容量、实际容量和可用容量三种,标称容量即我们看手机参数的容 量,即 1G、2G 这些。实际容量会比标称容量少一些,这其除了一些 小量的损耗(...
芯片存储容量计算问题(汇总)_信息与通信_工程科技_专业资料。芯片容量 地址线 ...(后面的 0 不用计算)=4*=16K 9.问:用 4K*1 位的 RAM 芯片...
传统 MCS-51 单片机内部数据存储器 RAM 结构 内部数据存储器低 128 单元 8051...当 PC 值超出内部 ROM 的容量时,会自动转向外部程序存储器空间。外部程序存储...
RAM 的容量又分标称容量、实际容量和可用容量三种,标称容量 即我们看手机参数的容量,即 1G、2G 这些。实际容量会比标称容量少一些,这其除了一些 小量的损耗(算法...
IBM微机及其兼容机的性能指标中的内部存储器容量是指 A.RAM的容量B.ROM的容量C.硬盘的容量D.ROM和RAM的总容量_答案解析_2016年_一模/二模/三模/联考_图文_...请问多核共享内存和分布式内存有什么区别吗?_电脑网络问题_土巴兔装修问答
请问多核共享内存和分布式内存有什么区别吗?
报价结果将发送到您的手机
您的装修预算约
*装修管家将回电您,免费提供装修咨询服务
*因材料品牌及工程量不同,具体报价以量房实测为准
请问多核共享内存和分布式内存有什么区别吗?
提问者:尤平婉|
浏览次数:7|
提问时间: 14:32:22
已有1条答案
回答数:7466|被采纳数:1
WallE_1124
所有回答:&7466
运行内存重要、RAM跟电脑上的内存条差不多。机身内存不够的可以通过储存卡扩展、RAM运行内存无法扩展。
已有 3 个回答
已有 4 个回答
已有 3 个回答
已有 3 个回答
已有 1 个回答
位业主已在问吧找到答案
土巴兔微信公众号
下载土巴兔APP
中国装修网块RAM和分布式RAM_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
块RAM和分布式RAM
上传于||暂无简介
阅读已结束,如果下载本文需要使用1下载券
想免费下载本文?
定制HR最喜欢的简历
你可能喜欢 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
下载积分:2500
内容提示:RAM
文档格式:PDF|
浏览次数:8|
上传日期: 19:33:36|
文档星级:
该用户还上传了这些文档
官方公共微信

我要回帖

更多关于 分布式和集中式的区别 的文章

 

随机推荐