c语言经典程序100例的程序制作启动界面Splashscreen

当前位置: >>
EDA学习大全
第1章 Protel 99 SE简介? 1.1 Protel 99 SE的组成? 1.2 Protel 99 SE的运行环境? 1.3 Protel 99 SE的操作环境及特点? 1.4 电路板设计的基本步骤 1.1 Protel 99 SE的组成? Protel 99 SE主要由原理
图设计系统、印制电路板设计系统两大部分组成。 1.原理图设计系统? 原理图设计系统是一个易于使用的具。它有大 量元件库的原理图编辑器,主要用于原理图的 设计。 ? 原理图设计系统可以为印制电路板设计提供网 络表。除了具有强大的原理图编辑功能以外, 其分层组织设计功能、设计同步器、丰富的电 气设计检验功能及强大而完善的打印输出功能, 使用户可以轻松完成所需的设计任务。 2.印制电路板设计系统? 印制电路板设计系统是一个功能强大的印制电 路板设计编辑器,具有非常专业的交互式布线 及元件布局的特点,用于印制电路板(PCB) 的设计并最终产生PCB文件,直接关系到印制 电路板的生产。 ? Protel 99 SE的印制电路板设计系统可以进行 多达32层信号层、16层内部电源/接地层的布 线设计,交互式的元件布置工具极大地减少了 印制板设计的时间。 ? 它还包含一个具有专业水准的PCB信号 完整性分析工具、功能强大的打印管理 系统、一个先进的PCB三维视图预览工 具。 ? Protel 99 SE还包含一个功能强大的基于 SPICE 3f5的模/数混合信号仿真器,使 设计者可以方便地在设计中对一组混合 信号进行仿真分析。 ? 它提供了一个高效、通用的可编程逻辑 器件设计工具。 1.2 Protel 99 SE的运行环境1.运行Protel 99 SE的推荐配置 ? ? CPU:≥Pentium II 400及以上PC机? ? 内存:≥64M ? ? 显卡:支持800×600×16位色以上显示 ? ? 光驱:≥24倍速 2.运行环境??Windows NT/95/98及以上版本操作系统。由于系统在运行过程中要进行大量的运算和存储,所以对机器的性能要求也比较高,配置越高越能充分发挥它的优点。 1.3 Protel 99 SE的操作环境及特点? 1.3.1 专题数据库管理环境 ? 1.3.2 原理图设计环境 ? 1.分层次组织设计功能 ? 2.强大的元件及元件库的组织、编辑功能 ? 3.方便的连线工具 ? 4.高效、便捷的编辑功能? 5.电气设计检测功能? 6.与印制电路板的紧密连接 ? 7.自定义原理图模块? 8.强大而完善的输出功能 ? 1.3.3 印制电路板设计环境? 1.丰富的设计规则 ? 2.易用的编辑环境 ? 3.智能化的交互式手工布线 ? 4.丰富的封装元件库及便简的元件库编辑和组织操作? 5.智能化的基于形状的自动布线功能? 6.可靠的设计校验 1.4 电路板设计的基本步骤一般而言,设计电路板最基本的过程可以分为以下3大步骤:? 1.电路原理图的设计电路原理图的设计主要是用Protel 99 SE的原理图设计系统来绘制电路原理图。 ? 2.产生网络报表网络表可以从电路原理图中获得,同时 Protel 99 SE也提供了从电路板中提取网络表 的功能。? 3.印制电路板的设计印制电路板的设计主要是利用Protel 99 SE的PCB设计系统来完成印制电路板图的绘 制。 第2章 原理图设计原理图设计的步骤 绘制原理图前的必要准备 放置元件 绘制原理图 绘制原理图的方法总结 ? 2.6 层次电路设计? 2.1 ? 2.2 ? 2.3 ? 2.4 ? 2.5 2.1 原理图设计的步骤 开始环境设置放置、调整元件放置、调整布线存盘整体编辑输出报表输出打印结束图2-1 原理图设计步骤 2.2 绘制原理图前的必要准备1 启动Protel 99 SE 图2-2 protel99se启动画面 2 创建原理图设计文件? 如果在此之前用户没有打开任何设计数据库,可 以选择主菜单区的【File】/【New】选项 。 ? 如果在此之前已经打开了一个或多个设计数据库, 可以选择主菜单区的【File】/【New Design】 选项,单击鼠标或按回车键即可。按照上面的操 作将弹出如图2-3图所示的窗口。 图2-3 新建设计数据库 对话框 图2-4 新建设计数据库 3 启动原理图编辑器? 双 击 【Document】 图 标 , 执 行 菜 单 命 令【File】/【New】,如图2-2所示。或者在空白处单击鼠标右键,选择【New】会出现如图2-5所示的选择类型对话框。 图2-5 新建文件对话框 图2-6 选择文件类型 ? 在上图2-6所示的对话框中单击【Schematic Document】图标,选中原理图编辑器图标, 单击【OK】按钮或双击该图标就可以完成新 的原理图文件的创建,如图2-10所示。默认的文件名为“sheet1.sch”,文件名可以修改,例如改为“dzz.sch”。? 注意文件名后缀为.sch 图2-7 新建原理图文件 4设计管理器? 启动protel99se后设计管理器处于打开状态,以 树状结构显示出设计数据库中的文件、组织形式 和库中各文件间的逻辑关系。 ? 双击文件夹可展开一个树,并可通过单击小加号 展开分支,单击小减号折叠分支,如图2-8所示。 ? 设计管理器主要用于管理各种文档,包括创建、 打开、关闭和删除设计数据库文件,删除访问成 员和修改密码与权限等操作。 图2-8 设计管理器 5 进入protel99se原理图设计环境? 双击mydesign.ddb中sheet1.sch或者点击 设计管理器中sheet1.sch进入原理图编辑 器,如图2-9所示。 ? 原理图界面由标题栏、菜单栏、工具栏、 设计起窗口、设计管理器(包括文档管理 器explorer和浏览管理器browse sch)、状 态栏和命令提示栏等部分组成。 图2-9protel99se 原理图编辑器窗口 ? 标题栏:分别为当前窗口最小化、还原、最大化或关闭等功能?菜单栏? 工具栏 (1 ) 设置原理图图纸? 一般系统默认图纸的大小为B号图纸。当构思 好原理图后,最好能先根据构思的整体布局设 置好图纸的大小。当然,在画图中或以后可以 再修改也是可以的。 ? 有两种方法可以改变图纸的大小。 ? 在设计窗口中,单击鼠标右键选择浮动菜单下 的文档选项[Document Option…]或者执行菜 单命令design|option出现如图2-10的对话框。 图2-10 文档属性对话框 Sheet option标签有以下内容:? 图纸走向(orientation):landscape为水平走向,portrait为垂直走向。 ? 图纸颜色:border color为图纸边框颜色,sheet color为图纸颜色。 ? 图纸尺寸:standard style为国际认可的标准图纸,有18种可供选择。 Custom style为用户自定义图纸,可根据需要设置图纸的尺寸、边框 分度和边框宽度。 ? 图纸边框:show reference zone 表示显示有分度的边框,show boder表示显示边框,title block表示显示标题栏 。 ? 标题栏设置(title block):有standard(标准标题栏)和ANSI(美国 国家标准协会标题栏)两种标题栏格式。 ? 栅格设置(grids):snap grid表示捕捉栅格;visiable grid表示可示栅格 ? Origanization 标签:如图2-11所示,origanization 输入制图单位或设计 人员,address输入origanization的详细信息,sheet分别表示原理图 号(No.)和原理图总数(Total),Document分别表示标题(title)、资 料号(No.)和版本号(revision) 图2-11 organization 标签 ? (2) 设置元件库在画原理图放置元件之前,需先将元件 所在的元件库调入系统 ? 打开原理图管理浏览器。在工作窗口为原理图 编辑器窗口的状态下,单击设计管理器顶部的 【Browse Sch】标签即可打开原理图管理浏 览器窗口,如图2-13所示。? 单击【Add/Remove】按钮,出现如图2-14所 示的添加/删除元件库对话框。 图2-12 原理图管理浏览窗口 图2-13 添加/删除元件库对话框 2.3 放 置 元 件1 利用浏览器放置元件? 在如图2-12所示中的【Browse】选项的下拉式选 框中,选中【Libraries】项。 ? 然后单击列表框中的滚动条,找出元件所在的元 件库文件名,单击鼠标左键选中所需的元件库; 再在该文件库中选中所需的元件。 2 利用菜单命令放置元件 具体的实现方法有下面4种。 ? 执行菜单命令【Place】/【Part】。 ? 直接单击鼠标右键,在浮动菜单上选择 “Place Part”。 ? 直接点击电路绘制工具栏上的按扭。 ? 使用快捷键【P】/【P】。 执行以上任何一种操作,都会打开如图2-14所示的对话框。输入所需元件的名称,然后单击【OK】按钮或按【Enter】键确认,即可出现相应的元件跟随光标的移动而移动的情形。 图2-14 放置元件对话框 3 元件的删除? 可以执行菜单命令【Edit】/【Delete】,当光 标变为十字形状后,将光标移到想要删除的元 件上,单击鼠标左键,即可将该元件从工作平 面上删除。 ? 选中所要删除的多个元件,然后执行菜单命令【Edit】/【Clear】。 4 元件移动方法 ①.直接用鼠标拖动。 ②.执行菜单【Edit】/【Move】/【Move】 命令,再用鼠标移动。③.用鼠标单击选中再移动。 ④.用鼠标拖动选择多个元件,再移动。 ⑤.用【Edit】/【Move】/【Move Selection】 命令移动。 5 元件方向的调整? 【Space】键(空格键):每按一次,被选中的元件逆时针旋转90°。? 【X】键:使元件左右对调。? 【Y】键:使元件上下对调。 6 改变元件属性【Attributes】选项卡中的内容较为常用,它包 括以下选项。 ? (1)Lib Ref:在元件库中定义的元件名称, 不会显示在绘图页中。 ? (2)Footprint:封装形式,应该输入该元件 在PCB库里的名称。 ? (3)Designator:流水序号。 ? (4)Part Type:显示在绘图页中的元 件名称,默认值与元件库中名称“Lib Ref”一致。? (5)Sheet Path:成为绘图页元件时, 定义下层绘图页的路径。 ? (6)Part:定义子元件序号,如与门电 路的第一个逻辑门为1,第二个为2等。 ? (7)Selection:切换选取状态。 ? (8)Hidden Pins:是否显示元件的隐 藏引脚。 ? (9)Hidden Fields:是否显示“Part Fields 1-8”、“Part Fields 9-16”选项卡 中的元件数据栏。 ? (10)Field Name:是否显示元件的数 据栏名称。 在元件的某一属性上双击鼠标左键,则会打开一个针对该属性的对话框。如在文字“U?”上双击,由于这是Designator流水序号属性, 随后出现对应的Part Designator对话框,如图 2-15所示。 图2-15 元件属性对话框 图2-16 流水序号属性设置对话框 2.4 绘制原理图1 画导线执行画导线命令的方法可以有以下几种。 ? 单击画原理图工具栏中的画导线按钮。 ? 执行菜单命令【Place】/【Wire】,如图226所示。 ? 按快捷键【P】/【W】。 图2-17 画导线菜单 2 利用网络标号实现电气连接在电路图中,有些本该连接的元件之间是 悬空的,取而带之的是有标号的引出线段,这 实际上是一种利用网络标号实现电气连接的方 法。网络标号实际意义就是一个电气节点,具 有相同网络标号的元件引脚、导线、电源及接 地符号等具有电气意义的图件,在电气关系上 是连接在一起的。 图2-18 网络标号属性设置对话框 3 放置电路节点放置的方法有以下几种。? 单击画原理图工具栏上的。 ? 执行菜单命令【Place】/【Junction】 ? 按快捷键【P】/【J】。 图2-19 节点属性设置对话框 4 放置电源及接地符号电源元件及接地元件有别于一般的电气元件, 它们必须通过菜单【Place】/【Power Port】或电 路图绘制工具栏上的按钮来调用,这时,工作区中会出现随着十字光标移动的电源符号,按【Tab】键,会出现如图2-20所示的属性对话框。 图2-20 电源属性设置对话框 5 画总线为了简化原理图,可以用一条导线来代表数条并行的导线,这条线就是总线。从另一角 度来看,总线是由数条性质相同的导线所组成 的线束。在图上,总线比导线要粗。 但是总线与导线有根本性的不同:总线 本身并不具备电气意义,而需要由总线接出的 各单一导线上的网络名称来完成电气意义上的 连接。在总线本身不一定需要放置网络的名称, 但由总线接出的各单一导线必须放置网络名称。 ? 画总线可以单击画原理图工具栏上的按钮或执 行菜单命令【Place】/【Bus】 。 6 绘制总线分支线? 单击画原理图工具栏的按钮。? 执行菜单命令【Place】/【Bus Entry】。
7 放置输入/输出端口下面介绍具体的实现方法。?单击原理图工具栏中的按钮。 ?执行菜单命令【Place】/【Port】。 图2-24 放置输入/输出端口 图2-25端口属性设置对话框 2.5 元件库制作? 在绘制原理图放置元件时,尽管protel99se 有非常庞大的元件库,但也未完全涉及所有 器件尤其是新增器件,所以需要具备元件库 编辑知识。 1 原理图元件库编辑器的启动首先,要启动原理图元件库编辑器。 单击【Document】标签,进入设计文件夹 【Document】,执行菜单命令【File】/ 【New】或在工作区单击鼠标右键选择 【New】命令,出现【New Document】 对话框, ? 双击对话框中的【Schematic LibraryDocument】图标,即可创建一个新的元件库文件。默认的文件名“Schlib1.lib”,在工作窗口中该文件的图标上双击或在设计浏览器中该文件的文件名上单击鼠标左键,即可进入如图2-26所示的原理图元件库编辑器。 图2-26 原理图元件库编辑器 2 制作元件前的准备? (1) 编辑器的窗口组成 ? (2) 绘图工具 ? (3 ) 符号工具 图2-27 原理图元件库绘图工具栏 图2-28 IEEE符号工具栏 3绘制元件? (1)、绘制元件外形在绘图工具箱中选择绘图工具,在第四象限完 成图形 的绘制。? (2)、放置元件引脚并编辑其属性单击工具箱中工具,放置引脚,并对引脚名称、编号、方向和电气特性等属性进行编辑。 图2-29 制作完成的数码管元件 4 设置元件属性并保存文件 单击元件管理器中的Description按钮或执行菜单命令 Tools| Description,出现元件属性对话框,针对元件输入 属性设置。5 元件设计完成后存盘,将元件存入元件库。 2.6 完成原理图设计1 完成原理图设计? (1) 回到原理图设计编辑环境 ? (2) 在原理图中添加自己制作的 元件 2 美化原理图? 1 画图形工具(Drawing Tools)介绍 在前面的内容中,都没有用上画图形的工具。 主要是因为这些工具只是起标注的作用,并不代 表任何电气意义。 图2-27所示的就是画图形的工具栏,下面就 来逐个介绍一下各个按钮的功能。 图2-30 原理图画图工具栏 画直线画矩形画多边形画圆角矩形画椭圆弧线画椭圆画贝塞尔曲线画扇型添加文字粘贴图片 粘贴复制文件添加文本 3 给原理图添加文字为了读图方便,原理图中最好能够添加文 字说明,添加文字的方法通常有两种。? (1).添加文字标注 ? (2).添加文本框 4 产生报表(1) 生成网络表文件生成网络表文件的方法可以执行菜单命令【Design】/【Create Netlist】,将会出现如图2-31所示的网络表对话框。 图2-31 网络表生成对话框 图2-29 网络表生成跟踪选项设置对话框 (2) 生成元件列表文件 元件列表主要包括元件的名称、序号、封 装形式。这样可以对原理图中的所有元件有一 个详细的清单,以便检查,校对。 ? 执行菜单命令【Report】/【Bill of Material】 3种列表元件定义格式分别为:●Protel格式,文件后缀名为*.bom●电子表格可调用格式,文件后缀名为*.csv●Protel99的表格格式,文件后缀名为*.xls 图2-32 元件列表生成向导步骤五 图2-33 元件清单格式一 (3) 生成电气规则测试报告? 执行菜单命令【Tools】/【ERC…】 图2-34 电气法则检查设置对话框 2-33 电气法则测试设置数组对话框 2-34 电气规则检查测试报告 2.5 绘制原理图的方法总结? 原理图的绘制并不复杂,主要是要学会熟 练运用,要熟悉工具栏上的按钮和元件库 的一些常用元件。 ? 这里要着重提出的是关于画面的管理的问 题。在前面的内容中没有做特别的介绍, 而关于画面的管理对于整个绘制过程又是 非常重要的。 2.6 层次电路图设计1 概念在前面的内容中已经提到过层次图。其实, 层次图就是要把整个设计项目分成若干原理图表 达。为了达到这一目的,必须建立一些特殊的图 形符号、概念来表示各张原理图之间的连接关系。 原理图的打印? 1 设置打印机?要想打印出Protel 99 SE环境下的原 理图,首先要设置打印机,设置打印机 的方法为执行菜单命令【File】/【Setup Printer】。或者直接在主工具栏中单击 按钮。之后,会弹出打印机设置对话框, 如图4-37所示。
? Select Printer? (选择打印机)? Batch Type? (选择输出的目标图形文件)? Color ? (设置输出颜色) ? ? Margins(设置页边距)? ? Scale(设置缩放比例)? ? Preview(预览) ? ? Vector Font Option(向量字体选项)? ? 其他项目设置 ? 2 打印输出?设置好打印机后用户就可以打印输 出了。用户可以执行菜单命令【File】 /【Print】,或者在设置打印机的对话 框中单击【Print】按钮,程序就会按 照上述设置进行打印。 ? 打印时会出现如图4-41所示的 对话框。
5 关于层次原理图? 1 概念?在前面的内容中已经提到过层次图。其 实,层次图就是要把整个设计项目分成若 干原理图表达。为了达到这一目的,必须 建立一些特殊的图形符号、概念来表示各 张原理图之间的连接关系。 ?在绘制层次图时常用的不同于一般原 理图的按钮有如下几个。?? 钮是层次图中用于画方块电路的按????是用于画方块电路图端口的按钮是用来画I/O端口的按扭 ? 4.5.2 层次原理图的设计方法?层次原理图的设计方法通常有 两种,一种是自顶向下的设计方法,一 种是自底向上的设计方法。? 1.自顶向下设计?用自顶向下设计时,首先建立 一张总图(Master Schematic)。
? 层次原理总图的设计过程。? 1.放置方块电路图 ? 2.对方块电路图属性进行设置 ? 3.用同样的方法完成其他方块电路的绘制
? 4.放置方块电路的端口的按钮设置端口的属性
? 5.将具有电气连接关系的方块电路端口用导线或总线连接起来,也就是绘制导线。? 6.把总图中的每一个方块电路对应的层次原理图子图绘制出来。
? 2.自底向上设计 先设计出下层模块的原理图,再由 这些原理图产生方块电路,进而产生上 层原理图。这样层层向上组织,最后生 成总图。 ? (1)绘制好底层模块,把需要与其 他模块相连的端口用I/O端口的形式画 出 。 (2)在设计数据库中建立一个新的 原理图文件 。? ? (3)执行菜单命令【Design】/ 【Create Symbol From Sheet】, 选择模块文件。 ? (4) 选择是否转换端口输入/输出方 向。 ? (5)对方块图属性进行修改。 ? (6)绘制完所有方块电路后,将方 块电路之间有电器连接关系的端口用 导线或总线连接起来。
? 3.层次原理图之间的切换注意:? 1.掌握从总图切换到方块电路图对立的 子图的方法。 ? 2.掌握从子图切换到总图的方法。 在绘制层次图时常用的不同于一般原理图 的按钮有如下几个。 ? 是层次图中用于画方块电路的按钮??是用于画方块电路图端口的按钮是用来画I/O端口的按扭 第3章 印制电路板的设计? ? ? ? ? ? ? ? ? ? ? ? 3.1 印制电路板的设计步骤 3.2 创建PCB图文件 3.3 装载元件库 3.4 设置电路板工作层面 3.5 规划电路板 3.6 装入网络表与元件 3.7 设置设计规则 3.8 元 件 布 局 3.9 自 动 布 线 3.10 给电路板添加标注 3.11 三 维 视 图 3.12 元件封装图的设计与修改 3.1 印制电路板的设计步骤设计印制电路板的大致步骤可以用下面的流程图图3-1来表示。 开始先期准备工作环境设置电路板设置图 3 1 印 制 电 路 板 的 设 计 步 骤-引入网络表、修改封装元件布局自动布线手工调整布线整体编辑输出打印结束 3.2 创建PCB图文件新建一个PCB图文件可以进入设计文件夹“【Document】”,执行菜单命令【File】/【New】或在工作区内单击鼠标右键,选择【New】选项,会弹出如图3-2所示的选择文件类型的对话框。 图3-2 选择文件类型对话框 双击该对话框中的【PCB Document】图标, 即可创建一个新的印制板电路图文件,默认的 文件名为“PCB1.PCB”。在工作窗口中该文 件的图标上单击、或在设计浏览器中该文件的 文件名上双击鼠标左键,即可进入如图3-3所 示的印制电路板编辑器。 图3-3 PCB编辑器 1 主工具栏::3D显示。选中该按钮将PCB图以三维立体图方式呈现,加强视觉效果:元件封装管理。:浏览元件封装库中的元件。:设置捕捉栅格。 2 工具箱 (1) 放置工具箱图3-4 放置工具箱 图标功能 交互式布线 绘制连线 放置焊盘 放置过孔图标功能 放置元件 边缘法绘制圆弧 中心法绘制圆弧边缘法绘制任意角度圆弧放置字符串 放置位置坐标 放置尺寸标注 放置坐标原点 放置限制元件的区域放置整个圆弧 放置矩形填充 放置多边形填充 放置内部电源/接地层 将剪贴板中内容粘贴 在工作平面上 ? (2) 元件布局工具箱图3-5 元件布局工具箱 图标 功能 左对齐 水平中间对齐右对齐 水平等距离 水平增加 水平减少 顶端对齐 垂直中间对齐 低端对齐图标 功能 垂直等距离 垂直增加垂直减少 元件屋内排列 矩形范围内排列 移动到栅格 创造联合体 解散联合体 运行对准对话框 3.3 装载元件库? 在浏览器的组合框中,选择库【Libraries】,如图3-6所示。 ? 用鼠标左键单击【Add/Remove】按钮,将出现 如图3-7所示的关于引入库文件的对话框。 图3-6 装载元件库 图3-7 元件库管理对话框 3.4 设置电路板工作层面1 有关电路板的几个基本概念? 铜膜线:简称导线,是敷铜经腐蚀后形成的用于连接各个焊点的导线。印刷电路板的设计都是围绕如何布置导线来完成的。 ? 飞线: 用来表示连接关系的线。它只表示焊盘之间有连接关系,是一种形式上的连接,并 不具备实质性的电气连接关系。飞线在手工布 线时可起引导作用,从而方便手工布线。飞线 是在引入网络表后生成的,而飞线所指的焊盘 间一旦完成实质性的电气连接,则飞线自动消 失。当同一网络中,部分电气连接断开导致网 络不能完全连通时,系统就又会自动产生飞线 提示电路不通。利用飞线的这一特点,可以根 据电路板中有无飞线来大致判断电路板是否已 完成布线。 ? 焊盘:焊盘(Pad)的作用是放置、连接导线和元件引脚。? 过孔(Via)的主要作用是实现不同板层 间的电气连接。过孔主要有3种。 ? 穿透式过孔(Through):从顶层一直 打到底层的过孔。 ? 半盲孔(Blind):从顶层遇到某个中间层的过孔,或者是从某个中间层通到底层的过孔。 ? 盲孔(Buried):只在中间层之间导通,而没有穿透到顶层或底层的过孔。 ? 单面板:电路板一面敷铜,另一面没有 敷铜,敷铜的一面用来布线及焊接,另 一面放置元件。单面板成本低,但只适 用于比较简单的电路设计。 ? 双面板:电路板的两面都敷铜,所以两 面都可以布线和放置元件,顶面和底面 之间的电气连接是靠过孔实现的。由于 两面都可以布线,所以双面板适合设计 比较复杂的电路,应用也最为广泛。 ? 多层板:不但可以在电路板的顶层和底 层布线,还可以在顶层和底层之间设置 多个可以布线的中间工作层面。用多层 板可以设计更加复杂的电路。 ? 长度单位及换算:Protel 99 SE 的PCB 编辑器支持英制(mil)和公制(mm) 两种长度计量单位。它们的换算关系是: 100mils=2.54mm(其中 1000mils=1Inches)。 ? 执行菜单命令【View】/【Toggle Units】 就能实现这两种单位之间的相互转换。 也可以按快捷键Q进行转换。转换后工 作区坐标的单位和其他长度信息的单位 都会转换为mm(或mil)。 ? 安全间距:进行印刷电路板的设计时, 为了避免导线、过孔、焊点及元件的相 互干扰,必须使它们之间留出一定的距 离,这个距离称之为安全间距 (Clearance)。 2 工作层面的类型Protel 99 SE提供了若干不同类型的工作层面 信号层(Signal layers) 内部电源/接地层(Internal plane layers) 机械层(Mechanical layers) 阻焊层(Solder mask layers) 锡膏防护层(Paste mask layers) 丝印层(Silkscreen layers) 钻孔位置层(Drill Layers) 其他工作层面(Others)。 下面介绍各工作层面的功能。 ? (1)信号层(Signal layers) 信号层主要是用来放置元件(顶层和 底层)和导线的。 ? (2)内部电源/接地层(Internalplanelayers) 内部电源/接地层主要用来放置电源线 和地线。 ? (3) 机械层(Mechanical layers) 机械层一般用于放置有关制板和装 配方法的信息。 ? (4) 阻焊层(Solder mask layers) 阻焊层有2个Top Solder Mask(顶 层阻焊层)和Bottom Solder(底层阻焊 层),用于在设计过程中匹配焊盘,并 且是自动产生的。 ? (5) 锡膏防护层(Paste mask layers) 锡膏防护层的作用与阻焊层相 似,但在使用“hot re-flow”(热对 流)技术安装SMD元件时,锡膏防 护层用来建立阻焊层的丝印。 ? (6).丝印层(Silkscreen layers) 丝印层主要用于绘制元件的轮廓、 放置元件的编号或其他文本信息。 ? (7).钻孔层(Drill layer) 钻孔层主要是为制造电路板提供钻孔 信息,该层是自动计算的。Protel 99 SE 提供Drill guide和Drill drawing两个钻孔 层。 ? (8).禁止布线层(Keep Out Layer) 禁止布线层用于定义放置元件和布 线区域的。 ? (9).多层(Multi layers) 多层代表信号层,任何放置在多层 上的元件会自动添加到所在信号层上, 所以可以通过多层,将焊盘或穿透式过 孔快速地放置到所有的信号层上。 ? (10).DRC错误层(DRC Errors)用于显示违反设计规则检查的信息。? (11).连接层(Connection) 该层用于显示元件、焊盘和过孔等 对象之间的电气连线。 3 设置工作层面设置方法可以执行菜单命令【Design】/【Option】,出现【Document Option】对话框, 选择其中的【Layers】标签即可进入工作层面 设置对话框,如图3-8所示。 图3-8 工作层面设置对话框 进入【Option】选项卡,结果如图3-9所示。在 该选项卡中可对【Grid】(栅格)、【Electrical Grid】(电气栅格)、【Measurement】(计量 单位)等选项进行设定。 图3-9 网格、电气栅格及计量单位设置对话框 ? (1).设置信号层和内部电源/接地层执行菜单命令【Design】/【Layer StackManager】,在屏幕上弹出如图5-9所示的工 作层面管理对话框。 图3-10 工作层面管理对话框 ? (2)设置Mechanical layers执 行 菜 单 命 令 【Design】/【Mechanical Layers】,弹出如图5-11所示的机械层设置对话 框,单击【Mechanical】复选框,可打开机械层, 并可设置机械层名称等参数。设置完信号层、内 部电源/接地层和机械层后,设置工作层面对话框 变为如图3-11所示。 图3-11 机械层设置对话框 图3-12 设置完信号层,内部电源/接地层和机械层后的设置工作层面对话框 3.5 规划电路板所谓规划电路板,就是根据电路的规 模以及公司或制造商的要求,具体确定所 需制作电路板的物理外形尺寸和电气边界。 电路板规划的原则是在满足公司或制造商 的要求的前提下,尽量美观且便于后面的 布线工作。 首先设定当前的工作层面为【Keep Out Layer】。单击下方的 【KeepOutLayer】标签即可将当前的工 作层面切换到Keep Out Layer层面,如 图3-13所示。在该层面上确定电路板的 电气边界位置。图3-13工作层面设置 图3-14 导线设置属性对话框 图3-15 绘制电路图边界 3.6 装入网络表与元件? 规划好电路板后,接着就是要装入网络表和元件。网络表和元件是同时装入的。网络表与元件的装入过程,实际上就是将原理图设计的数据装入印制电路板的设计系统PCB的过程。 利用原理图生成的网络表文件装入网络表 和元件. 生成网络表的方法,可以在原理图的设 计的工作环境下,执行菜单命令【Design】 /【Create Netlist…】,可以看到随后会出现 网络表文件“*.net”。 ? 在利用网络表文件装入网络表和元件时,可 以在PCB编辑器中执行菜单命令【Design】 /【Load Nets】,出现如图3-16所示的装入 网络表的对话框。 3-16 装入 网络表对话框 图3-17 选择网络表文件对话框 图3-18 打开网络表文件 图3-19 载入元件及网络表 3.7 设置设 计 规 则1 设计规则概述? 在PCB窗口中执行菜单命令【Design】/【Rules】将出现如图9-1所示的设计规则(Design Rules)设置对话框。 图3-20 设计规则设置对话框 图3-21 规则范围设置为整个电路板 2 布线设计规则设置? (1).【Clearance Constraint】选项 图3-22 安全间距设置对话框 ? (2).【Routing Corners】选项图3-23 拐角模式设置对话框 90 degrades45 degradesrounded图3-24 拐角模式 ? (3).【Routing Layers】选项图3-25 布线动作层面设置对话框 ? (4).【Routing Priority】选项 ? 设置布线优先级别。布线优先级别是指 程序允许用户设定各个网络布线的顺序。 优先级高的网络布线早,优先级低的网 络布线晚。Protel 99 SE提供了0~100 共101个优先级选择,数字0代表的优先 级最低,100代表的优先级最高。布线 优先级设置对话框如图9-22所示。在 Rule Attributes栏中的Routing Priority下 拉列表框处设置优先级。 图3-26 布线优先级别设置 ? (5).【Routing Topology】选项? 在Rule Attributes栏中的下拉列表框中有 7种拓扑结构可选:最短连线 (Shortest)、水平连线(Horizontal)、 垂直连线(Vertical)、简单菊花形 (Daisy-Simple)、由中间向外的菊花 形(Daisy-MidDriven)、平衡菊花形 (Daisy-Balanced)、放射星形 (Starburst)。 ? (6).【Routing Via Style】图3-27 过孔尺寸设置对话框 ? (7).【SMD To Neck-Down Constraint】选项图3-28 布线宽度与焊盘底座宽度 图3-29 布线宽度与焊盘底座宽度比例设置对话框 ? (8).【SMD To Corner Constraint】选项图3-30 焊盘与拐角处的间距 图3-31 焊盘与拐角处的最小间距设置对话框 ? (9).【Width Constraint】选项图3-32 导线的最大最小宽度 图3-33 导线最大最小设置对话框 ? 3【Hole Size Constraint】选项图3-34 孔径尺寸限制规则设置对话框 ? (1).【Layer Pairs】选项图3-35 层面对匹配规则设置对话框 ? (2).【Minimum Annular Ring】选项图3-36 焊盘和过孔环形铜模的有关尺寸 图3-37 焊盘和过孔最小环径设置对话框 ? 4 元件布局规则设置 ? 在设计规则对话框中选择Placement选项卡出现如图所示的窗口。 (1).【Component Clearance Constraint】选项图3-38 元件间距限制规则设置对话框 (2).【Component Orientations Rule】选项图3-39 元件布置方向规则设置对话框 ? (3).【Net To Ignore】选项图3-40 网络忽略规则设置对话框 图3-41 选择待忽略的网络 ? (4).【Permitted Layers Rule】选项? (5).【Room Definition】选项 图3-42 允许元件放置层规则设置对话框 图3-43 区域定义设置对话框 5 其他相关规则设置? Other选项卡的窗口如图3-44所示。 图3-44 other选项卡对话框 6 设计规则检查(1) 设计规则检查? 启动【Tool】菜单中的【Design RuleCheck】命令,屏幕上会弹出如图9-87所示的电路设计规则检查设置对话框。 图3-45 设计规则检查设置窗口 (1).Report选项卡该选项卡分为6个区域。 ? Routing Rules 区域本区的功能是采用 下面哪些布线规则检查电路。 ? Manufacturing Rules 本区的功能是采 用下面哪些电路板制造规则检查电路。 ? High Speed Rules区域 本区的功能是设 置采用下列哪种高频电路设计规则检查 电路。 ? Placement Rules区域 本区的功能是设 置采用下列哪种放置元件的设计规则检 查电路。 ? Signal Integrity Rules区域 本区的功能 是设置采用下列哪种信号完整性设计规 则检查电路。 ? (2).On-Line选项卡 ? (3).执行电路板检查功能? 清除错误标记 ? 执行菜单命令【TOOL】/【Reset Error Markers】,该命令能将违规位置 高亮绿色错误标记清除掉。 ? 5.自动布线器的参数设定 ? 自动布线器的参数设定的方法,可以执行 菜单命令【Auto Routing】/【Setup】,进 入自动布线器设置对话框,如图5-48所示。 图3-46 自动布线参数设置对话框 对话框中的各项设置说明如下。 1.Router Passes选项区域 Router Passes区域包含5个复选框。 ? 【Memory】复选框:即采用内存模式的 布线策略。 ? 【Fan Out Used SMD Pins】复选框: 适用于SMD焊盘,采用这种布线方案, 将从SMD焊盘引出一段铜膜线,并在铜 膜线未端放置一个过孔 。 ? 【Pattern】复选框:在自动布线过程中, 该布线方案有多种算法,每种算法对应 一类模块,是一种搜索式布线方法。 ? 【Shape Router-Push and Shove】复 选框:推挤布线方式。 ? 【Shape Router-Rip Up】复选框:设置 该项,能够使布线器撤消发生间距冲突 的走线,并重新布线以消除间距冲突。 2.Manufacturing Passes区域 该区域用于设置与制作电路板有关 的自动布线方案,包含4个复选框。 ? 【Clean Up During Routing】复选框: 在布线期间对电路板上的连线和焊盘进 行整理。 ? 【Clean After Routing】复选框:在布 线完毕后对电路板上的连线和焊盘进行 整理。 ? 【Evenly Space Tracks】复选框:在焊盘之间均匀布线。? 【Add Testpoints】复选框:在网络 上增加测试点。一般情况下不用设置测试点。 3.Pre-Routes区域 该区域用于设置对预布线的处理方式。只 有一个复选框。【Lock All Pre-Route】复 选框:锁定已有的布线。 4.Routing Grid 设置布线栅格大小,这里将栅格值设置为 “20mil”。 ? 布线参数设置完毕后,就可以开始自动布线了。Protel 99 SE中自动布线的方式有很多,既可以进行全局布线, 也可以对用户指定的区域、网络、元 件甚至是连接进行布线,用户可以根 据需要选择最佳的方式。 3.8 元 件 布 局1 元件的自动布局? Protel 99 SE提供了强大的元件自动布局的 功能,可以通过程序算法自动将元件分开, 放置在规划好的电路板电气范围内。元件 自动布局的实现方法可以执行菜单命令 【Tools】/【Auto Placement】/【Auto Placer…】,出现如图5-27所示的对话框。 ? 对话框中选项的定义如下。 【Cluser Placer】:成组布局方式。 【Statistical Placer】:统计布局方式。 【Quick Component Placement】:快速元件 布局。 图3-47 自动布局对话框 ? 【Group Components】: 该选项的功 能是将当前网络中连接密切的元件归为 一组。排列时该组的元件将作为整体考 虑,默认状态为选中。 ? 【Rotate Component】:该选项的功能 是根据当前网络连接与排列的需要使元 件或元件组旋转方向。若未选中该选项 则元件将按原始位置放置。默认状态为 选中。 ? 【Power Nets】:电源网络名称。这里将网络设定为“VCC”。? 【Ground Nets】:接地网络名称。这里将接地网络设定为“GND”。? 【Grid Size】:设置元件自动布局时格点的间距大小。 图3-48 完成自动布局 2 元件的手工布局与调整元件的布局要考虑以下几个方面的 问题。 ? 元件布局应便于用户的操作使用。 ? 尽量按照电路的功能布局。 ? 数字电路部分与模拟电路部分尽可能 分开。 ? 特殊元件的布局要根据不同元件的特 点进行合理布局。 ? 应留出电路板的安装孔和支架孔以及 其他有特殊安装要求的元件的安装位 置等。 图3-49 手工调整元件位置 3 元件标注的调整对元件进行一系列的调整后,元件的标注过于杂乱,影响了电路板的美观。所以,需要对元件标注进行调整。用户可以对元件的标注进行移动、旋转和编辑等操作。 3.9 自 动 布 线? 自动布线是指Protel 99 SE程序根据用户设定的有关布线参数和布线规则,按照一定的算法,依照网络表所指定的连接关系,自动在各个元件之间进行连线,从而完成印刷电路板的布线工作。 图3-50 对指定网络自动布线 4 设计规则的检测 ? 自动布线的结果是否正确可能是自动布 线结束后存在的一个疑问,本系统本身 具备的检测功能可以来解除这个疑问。 ? 检测的实现方法可以执行菜单命令 【Tools】/【Design Rule Check】,弹 出如图3-51所示的对话框,现在就可以 设置一些参数了。 图3-51 设计规则检测参数设置对话框 ? 设计规则的检测可以分为两种结果:? 一种是报表(Report)输出,可以产生检测的结果报表; ? 另一种是在线检测(On-Line)工具,也就是在布线的过程中对布线规则进行检测,防止错误产生。 5 电路板的手工修整? (1).调整布线? (2).增加信号输入输出接口 ? (3).加宽电源/接地线 3.10 给电路板添加标注1 标注文字? 标注文字通常包括元件的编号、层面的作用和设计日期等。 图3-52元件重新标注对话框 ? 【1 By AscendingX Then Ascending】:选择 该项时,从左下脚由下至上进行重新编号和标 注。 ? 【2 ByAscendingX Then Descending】:选择 该项时,从左上脚由上至下进行重新编号和标 注。 ? 【3 By Ascending Y Then Ascending】:选择 该项时,从左下脚由左至右进行重新编号和标 注。 ? 【4 By Ascending Y Then Descending】:选择该项时,从左上脚由右至左进行重新编 号和标注。 ? 【5 Name from Position】:选择该项时,元 件自身的坐标值决定元件的编号和标注值。 2 标注尺寸 将当前的工作层面切换成【Drill Drawing层。 执行菜单命令【Place】/【Dimension】 3 放置定位孔 3.11 三 维 视 图? 创建三维视图的方法可以执行菜单命令【View】/【Board in 3D】或单击主工具栏上的按钮即可。可以看到系统会自动生成一个三维的视图出来,并且在当前的窗口中打开。 3-51 印制电路板的三维视图(俯视图) 3-52 观察点在侧上方的印制电路板三维视图 3.12 元件封装图的设计与修改1 原理图元件与PCB元件 图3-53 原理图中的元件与印制板中元件之间的关系 图3-54 电阻的原理图符号与电阻的封装符号之间的对应关系 (1) 针脚式元件所谓针脚式元件,就是元件的引 脚是一根导线,安装元件时该导线必须 通过焊盘穿过电路板焊接固定。所以在 电路板上,该元件的引脚要有焊盘,焊 盘必须钻一个能够穿过引脚的孔(从顶 层钻通到底层),图8-3为针脚式元件 的封装图,其中的焊盘属性中的Layer 板层属性必须设为MultiLayer。 图3-55 针脚式元件的封装图 (2) 表面贴装式元件 表面贴装式元件是直接把元件贴在电路板 表面上。它是靠粘贴固定的,所以焊盘就不需 要钻孔了,因此成本较低。表面贴装式元件各 引脚间的间距很小,所以元件体积也较小。由 于安装时不存在元件引脚穿过钻孔的问题,所 以它特别适合于用机器进行大批量、全自动地 进行机械化的生产加工。图8-4为表面贴装式 元件的封装图,其中焊盘的Layer属性必须设 置为单一板层,如TopLayer(顶层)或 BottomLayer(底层)。 图3-56 表面贴装式元件 ? 2 封装图结构不管是针脚式元件还是表面贴装式元件,其结构如图所示,可以分为元件 图、焊盘、元件属性3个部分,说明如下。 图3-57 元件结构说明 ? (1)元件图元件图是元件的几何图形,不具备电气性质,它起到标注符号或图案的作用。? (2)焊盘焊盘是元件主要的电气部分,相当于电路图里的引脚。 ? (3)元件属性在电路板的元件里,其属性部分主要用来设置元件的位置、层次、序号和注 释等项内容。 ? 3 元件名称 在实际应用中电阻、电容的名称分别是 AXIAL和RAD,对于具体的对应可以不做严格 的要求,因为电阻、电容都是有两个管脚, 管脚之间的距离可以不做严格的限制。 ? 直插元件有双排的和单排的之分,双排的被 称为DIP,单排的被称为SIP。 ? 表面贴装元件的名称是SMD,贴装元件又有宽窄之分:窄的代号是A,宽的代号是B。? 电路板的制作过程中,往往会用到插头,它 的名称是DB。 4PCB元件库编辑(1)启动PCB元件库编辑器图3-57 新建PCB元件对话框 图3-58 元件编辑器 (2) 元件库编辑浏览器? 在程序的窗口的左侧有一个长方形的窗口,这就是元件库编辑浏览器,如图8-8所示。通过它,用户可以更加方便的来管理元件库。 图3-59 元件库编辑浏览器 (3) PCB元件库放置工具? 在自己制作元件时,一般用 【Place】菜单 下的命令来完成。而与之对应的有一个如图3-60所示的放置工具栏。 图3-60 PCB元件库放置工具栏 (4) 创建一个PCB元件图3-61 重新命名元件名 图3-62 涂层选择标签 图3-63 LED外形图图3-64 完成后的LED元件 (5 ) 利用向导创建PCB新元件? 可以执行菜单命令【Tools】/【NewComponent】或单击元件编辑浏览器上的【Add】按钮,弹出如图8-14所示的对话框。 图3-65 元件创建向导一 ? 单击【Next】按钮将正式进入PCB元件向导。这时,程序将弹出如图3-66所示的对话框。 ? 在这里可以设定元件的外形形式。 图3-66 元件创建向导二 ? 图3-67所示的对话框。可以在这里设定焊盘尺寸。? 图3-68所示的对话框。它用于设定新 元件引脚的相对位置与间距。 图3-67 元件创建向导三 3-68 元件创建向导四 ? 设定线宽。? 改变引脚的数目。? 文件名称的设置。 ? 确认所有的设置 ? 自动产生图3-69所示的PCB元件。 图3-69 新建元件 4 mutisim电路仿真软件的使用? ? ? ? 4.1 Multisim 7基本操作 4.2 Multisim 7电路创建 4.3 Multisim 7操作界面 4.4 Multisim 7仪器仪表使用 Multisim 7简介Multisim 7是IIT公司推出Multisim 2001之后的Multisim最新 版本。Multisim 7提供了全面集成化的设计环境,完成从原理 图设计输入、电路仿真分析到电路功能测试等工作。当改变电 路连接或改变元件参数,对电路进行仿真时,可以清楚地观察 到各种变化对电路性能的影响 。 Multisim 7有如下特点: 操作界面方便友好,原理图的设计输入快捷。 元器件丰富,有数千个器件模型。 虚拟电子设备种类齐全,如同操作真实设备一样。 分析工具广泛,帮助设计者全面了解电路的性能。 对电路进行全面的仿真分析和设计。 可直接打印输出实验数据、曲线、原理图和元件清单等。 4.1 Multisim 7基本操作4.1.1 基本界面仿真电源开关工具栏 元器件栏菜单栏仪器仪表栏状态栏电路工作区 4.1.2 文件基本操作与Windows常用的文件操作一样,Multisim7中也有:New--新建文件、Open--打开文件、Save--保存文件、SaveAs--另存文件、Print--打印文件、Print Setup--打印设置和Exit-退出等相关的文件操作。 以上这些操作可以在菜单栏File子菜单下选择命令,也可 以应用快捷键或工具栏的图标进行快捷操作。 4.1.3 元器件基本操作 常用的元器件编辑功能有:90 Clockwise--顺时针旋转90?、 90 CounterCW--逆时针旋转90?、Flip Horizontal--水平翻转、Flip Vertical--垂直翻转、Component Properties--元件属性等。这些操 作可以在菜单栏Edit子菜单下选择命令,也可以应用快捷键进行快捷操作。原始图像顺时针旋转90?逆时针旋转90?水平翻转垂直翻转 4.1.4 文本基本编辑 对文字注释方式有两种:直接在电路工作区输入文字或者在 文本描述框输入文字,两种操作方式有所不同。 1. 电路工作区输入文字 单击Place / Text命令或使用Ctrl+T快捷操作,然后用鼠标 单击需要输入文字的位置,输入需要的文字。用鼠标指向文 字块,单击鼠标右键,在弹出的菜单中选择Color命令,选择 需要的颜色。双击文字块,可以随时修改输入的文字。 2. 文本描述框输入文字利用文本描述框输入文字不占用电路窗口,可以对电路的 功能、 实用说明等进行详细的说明,可以根据需要修改文字的 大小和字体。单击View/ Circuit Description Box命令或使用快捷 操作Ctrl+D ,打开电路文本描述框,在其中输入需要说明的文字,可以保存和打印输入的文本。 4.1.5 图纸标题栏编辑 单击Place / Title Block命令,在打开对话框的查找范围处 指向Multisim / Titleblocks目录,在该目录下选择一个*.tb7图纸标题栏文件,放在电路工作区。用鼠标指向文字块,单击鼠标右键,在弹出的菜单中选 择Modify Title Block Data命令。如下图所示: 4.1.6 子电路创建 子电路是用户自己建立的一种单元电路。将子电路存放在用 户器件库中,可以反复调用并使用子电路。利用子电路可使复 杂系统的设计模块化、层次化,可增加设计电路的可读性、提 高设计效率、缩短电路周期。创建子电路的工作需要以下几个步骤:选择、创建、调用、修改 。子电路选择: 把需要创建的电路放到电子工作平台的电路窗口上,按住 鼠标左键,拖动,选定电路。被选择电路的部分由周围的方框 标示,完成子电路的选择。 子电路创建:单击Place/Replace by Subcircuit命令,在屏幕出现SubcircuitName的对话框中输入子电路名称sub1 ,单点OK,选择电路复制到用户器件库,同时给出子电路图标,完成子电路的创建。 子电路调用:单击Place/Subcircuit命令或使用Ctrl+B快捷操作,输入已创建的子电路名称sub1,即可使用该子电路。 子电路修改: 双击子电路模块,在出现的对话框中单击Edit Subcircuit命 令,屏幕显示子电路的电路图,直接修改该电路图。 子电路的输入/输出: 为了能对子电路进行外部连接,需要对子电路添加输入/输 出。单击Place / HB/SB Connecter命令或使用Ctrl+I快捷操作, 屏幕上出现输入/输出符号,将其与子电路的输入/输出信号端 进行连接。带有输入/输出符号的子电路才能与外电路连接。 4.2 Multisim 7电路创建4.2.1 元器件 1. 选择元器件 在元器件栏中单击要选择的元器件库图标,打开该元器件 库。在屏幕出现的元器件库对话框中选择所需的元器件,常用 元器件库有13个:信号源库、基本元件库、二极管库、晶体管 库、模拟器件库、TTL数字集成电路库、CMOS数字集成电路库、其他数字器件库、混合器件库、指示器件库、其他器件库、射频器件库、机电器件库等。 2. 选中元器件 鼠标点击元器件,可选中该元器件。 3. 元器件操作 选中元器件,单击鼠标右键,在菜单中出现下列 操作命令:Cut:剪切Copy:复制 Flip Horizontal:选中元器件的水平翻转;Flip Vertical:选中元器件的垂直翻转;90 Clockwise:选中元器件的顺时针旋转90?; 90 CounterCW:选中元器件的逆时针旋转90?; Color:设置器件颜色 Edit Symbol:设置器件参数 Help:帮助信息 4. 元器件特性参数 双击该元器件,在弹出的元器件特性对话框中,可以设置或 编辑元器件的各种特性参数。元器件不同每个选项下将对应不同的参数。例如:NPN三极管的选项为:Label -- 标识Value -- 数值Display -- 显示Fault -- 故障 4.2.2 电路图 选择菜单Options栏下的Preference命令,出现如图所示的对 话框,每个选项下又有各自不同的对话内容,用于设置与电路显 示方式相关的选项。 1. Circuit选项Show栏目的显示控制如下: Show component label:是否显示元器件的标识文字; Show component reference ID:是否显示元器件的序号; Show node names:是否显示节点编号; Show component values:是否显示元器件数值;Show component attribute:是否显示元器件属性;Color栏目用来改变电路显示的颜色。 2. Workspace选项 Workspace选项有三个栏目。Show栏目实现电路工作区显 示方式的控制;Sheet size栏目实现图纸大小和方向的设置; Zoom level栏目实现电路工作区显示比例的控制。3. Wiring选项Wiring选项有两个栏目。Wire width栏目设置连接线的线宽; Autowire栏目控制自动连线的方式。 4. Component Bin选项Component Bin选项有两个栏目。Symbol standard栏目用 来选择元器件符号标准。有两种符号标准可以选择:ANSL美 国标准元件符号和DIN欧洲标准元件符号;Place component mode栏目选择元器件的操作模式。 5. Font选项 Font选项可以选择字体、选择字体的应用项目以及应用 范围等栏目。 6. Miscellaneous选项Miscellaneous选项控制文件备份方式等。其中,Auto-backup选择自动备份的时间;Circuit Default Path选择电路存盘的路径;Digital Simulation Setting选择数字仿真的两种状态:Idea理想仿真和Real真实状态仿真,前者可以获得较高的仿真速度,后者获得更为精确的仿真结果。 8. PCB选项 PCB选项选择与制作电路板相关的命令 。 9. Default对话框Set as Default按钮将当前设置存为用户默认设置,影响新建电路图;Restore Default按钮将当前设置恢复为用户的默认设 置。OK按钮不影响用户的默认设置,只影响当前电路图设置。 4.2.3 导线 主要涉及的操作有:导线的形成、导线的删除、导线颜 色设置、导线连接点、在导线中间插入元器件。 4.2.4 输入/输出单击Place / HB/SB Connecter命令,屏幕上会出现输入/ 输出符号: ,将该符号与电路的输入/输出信号端进行连接。子电路的输入/输出端必须有输入/输出符号,否则无 法与外电路进行连接。 4.3 Multisim 7操作界面4.3.1 Multisim 7菜单栏11个菜单栏包括了该软件的所有操作命令。从左至右为:File(文件)、Edit(编辑)、View(窗口)、Place(放置)、Simulate(仿真)、Transfer(文件输出)、Tools(工具)、 Reports(报告)、Options(选项)、Window(窗口)和Help (帮助)。 1. File(文件)菜单 2. Edit(编辑)菜单 3. View(窗口)菜单 4. Place(放置)菜单 5. Simulate(仿真)菜单 6. Transfer(文件输出)菜单 7. Tools(工具)菜单 8. Reports(报告)菜单 9. Options(选项)菜单10. Window(窗口)菜单 11. Help(帮助)菜单4.3.3 Multisim 7元器件栏Multisim 7提供了13个元器件库,用鼠标左健单击元器件 库栏目下的图标即可打开该元器件库,元器件栏如图所示,各 图标名称及其功能如表所示。 元器件栏各图标名称及其功能如表所示: 4.3.4 Multisim 7仪器仪表栏Multisim 7在仪器仪表栏下提供了17个常用仪器仪表,依次 为数字万用表、函数发生器、瓦特表、双通道示波器、四通道示 波器、波特图仪、频率计、字信号发生器、逻辑分析仪、逻辑转 换器、IV分析仪、失真度仪、频谱分析仪、网络分析仪、Agilent 信号发生器、Agilent万用表、Agilent示波器。 4.4 Multisim 7仪器仪表使用4.4.1 数字万用表(Multimeter) Multisim 7提供的万用表外观和操作与实际的万用表相似, 可以测电流A、电压V、电阻Ω和分贝值db,测直流或交流信 号。万用表有正极和负极两个引线端 。 4.4.2 函数发生器(Function Generator) Multisim 7提供的函数发生器可以产生正弦波、三角波和 矩形波,信号频率可在1Hz到999MHz范围内调整。信号的幅值以及占空比等参数也可以根据需要进行调节。信号发生器有三个引线端口:负极、正极和公共端。 4.4.3 瓦特表(Wattmeter) Multisim 7提供的瓦特表用来测量电路的 交流或者直流功率,瓦 特表有四个引线端口: 电压正极和负极、电流 正极和负极。 4.4.4 双通道示波器(Oscilloscope) Multisim 7提供的双 通道示波器与实际的示波器外观和基本操作基本相同,该示波器可以观察一 路或两路信号波形的形状, 分析被测周期信号的幅值 和频率,时间基准可在秒 直至纳秒范围内调节。示 波器图标有四个连接点: A通道输入、B通道输入、外触发端T和接地端G。 示波器的控制面板分为四个部分: 1. Time base(时间基准) Scale(量程):设置显示波形时的X轴时间基准。 X position(X轴位置):设置X轴的起始位置。 显示方式设置有四种:Y/T方式指的是X轴显示时间,Y轴 显示电压值;Add方式指的是X轴显示时间,Y轴显示A通道和B 通道电压之和;A/B或B/A方式指的是X轴和Y轴都显示电压值。 2. Channel A(通道A) Scale(量程):通道A的Y轴电压刻度设置。 Y position(Y轴位置):设置Y轴的起始点位置,起始点为 0表明Y轴和X轴重合,起始点为正值表明Y轴原点位置向上移, 否则向下移。触发耦合方式:AC(交流耦合)、0(0耦合)或DC(直流耦合),交流耦合只显示交流分量,直流耦合显示直流和交流 之和,0耦合,在Y轴设置的原点处显示一条直线。 3. Channel B(通道B) 通道B的Y轴量程、起始点、耦合方式等项内容的设置与通道A相同。 4. Trigger(触发)触发方式主要用来设置X轴的触发信号、触发电平及边沿等。 Edge(边沿):设置被测信号开始的边沿,设置先显示上 升沿或下降沿。 Level(电平):设置触发信号的电平,使触发信号在某一电平时启动扫描。触发信号选择:Auto(自动)、通道A和通道B表明用相应 的通道信号作为触发信号;ext为外触发;Sing为单脉冲触发; Nor为一般脉冲触发。 4.4.5 四通道示波器(4 Channel Oscilloscope)四通道示波器与双通道示波器的使用方法和参数调整方式完 全一样,只是多了一个通道控制器旋钮 道位置,才能对该通道的Y轴进行调整。 ,当旋钮拨到某个通 4.4.6 波特图仪(Bode Plotter) 利用波特图仪可以方便地测量和显示电路的频率响应,波特图仪适合于分析滤波电路或电路的频率特性,特别易于观察截止频率。需要连接两路信号,一路是电路输入信号,另一路是电路 输出信号,需要在电路的输入端接交流信号。 波特图仪控制面板分为Magnitude(幅值)或Phase(相位) 的选择、Horizontal(横轴)设置、Vertical(纵轴)设置、显示 方式的其他控制信号,面板中的F指的是终值,I指的是初值。在 波特图仪的面板上,可以直接设置横轴和纵轴的坐标及其参数。 例如:构造一阶RC滤波电路,输入端加入正弦波信号源, 电路输出端与示波器相连,目的是为了观察不同频率的输入信号经过RC滤波电路后输出信号的变化情况。 调整纵轴幅值测试范围的 初值I和终值F,调整相频特性 纵轴相位范围的初值I和终值F。 幅频特性曲线 打开仿真开关,点击幅频 特性在波特图观察窗口可以看 到幅频特性曲线;点击相频特 性可以在波特图观察窗口显示 相频特性曲线 。相频特性曲线 4.4.7 频率计(Frequency couter) 频率计主要用来测量信号的频率、周期、相位,脉冲信号的 上升沿和下降沿,频率计的图标、面板以及使用如图所示。使用过程中应注意根据输入信号的幅值调整频率计的Sensitivity(灵敏度)和Trigger Level(触发电平)。 4.4.8 数字信号发生器(Word Generator) 数字信号发生器是一个通用的数字激励源编辑器,可以多种方式产生32位的字符串,在数字电路的测试中应用非常灵活。左侧是控制面板,右侧是字信号发生器的字符窗口。控制面板分为 Controls(控制方式)、Display(显示方式)、Trigger(触发)、 Frequency(频率)等几个部分。 4.4.9 逻辑分析仪(Logic Analyzer) Multiuse 7提供了16路的逻辑分析仪,用来数字信号的高 速采集和时序分析。逻辑分析仪的图标如图所示。逻辑分析仪的连接端口有:16路信号输入端、外接时钟端C、时钟限制Q以及触发限制T。 面板分上下两个部 分,上半部分是显示窗口,下半部分是逻辑分析仪的控制窗口,控制 信号有:Stop(停止)、 Reset(复位)、Reverse (反相显示)、Clock (时钟)设置和Trigger (触发)设置。 Clock setup(时钟设置)对话框 Clock Source(时钟源)选择外触发或内触发; Clock rate(时钟频率):1Hz~ 100MHz范围内选择; Sampling Setting(取样点设置): Pre-trigger samples (触发前取样点)、 Post- trigger samples(触发后取样点) 和Threshold voltage(开启电压)设置。 点击Trigger下的 Set(设置)按钮时,出现Trigger Setting(触发设置)对话框 如图所示。Trigger Clock Edge(触发边沿): Positive(上升沿)、Negative(下 降沿)、Both(双向触发)。 Trigger patterns(触发模式):由 A、B、C定义触发模式,在 Trigger Combination(触发组合) 下有21种触发组合可以选择。 4.4.10 逻辑转换器(Logic Converter) Multisim 7提供了一种虚拟仪器:逻辑转换器。实际中没 有这种仪器,逻辑转换器可以在逻辑电路、真值表和逻辑表达式之间进行转换。有8路信号输入端,1路信号输出端。6种转换功能依次是:逻辑电 路转换为真值表、真值表转换 为逻辑表达式、真值表转换为 最简逻辑表达式、逻辑表达式 转换为真值表、逻辑表达式转 换为逻辑电路、逻辑表达式转换为与非门电路。 4.4.11 IV分析仪(IV Analyzer) IV分析仪专门用来分析晶体管的伏安特性曲线,如二极管、 NPN管、PNP管、NMOS管、PMOS管等器件。IV分析仪相当 于实验室的晶体管图示仪,需要将晶体管与连接电路完全断开, 才能进行IV分析仪的连接和测试。 IV分析仪有三个连接 点,实现与晶体管的 连接。IV分析仪面板 左侧是伏安特性曲线 显示窗口;右侧是功 能选择。 4.4.12 失真度仪(Distortion Analyzer) 失真度仪专门用来测量电路的信号失真度,失真度仪提供 的频率范围为20Hz~100kHz。面板最上方给出测量失真度的提示信息和测量值。 Fundamental Freq(分析频率)处可以设置分析频率值;选 择分析THD(总谐波失真)或SINAD(信噪比),单击Set按钮, 打开设置窗口如图所示,由于THD的定义有所不同,可以设置 THD的分析选项。 4.4.13 频谱分析仪(Spectrum Analyzer) 用来分析信号的频域特性,其频域分析范围的上限为4GHz。Span Control用来控制频率范围,选择Set Span的频率范 围由Frequency区域决定;选择Zero Span的频率范围由 Frequency区域设定的中心频率决定;选择Full Span的频率范围为1KHz~4GHz。 Frequency用来设定频率:Span设定频率范围、Start设定起始频率、Center设定中心频率、End设定终止频率。Amplitude用来设定幅值单位,有三种选择:dB、dBm、Lin。Db = 10log10V;dBm = 20log10(V/0.775);Lin为线性表示。Resolution Freq.用来设定频率分辨的最小谱线间隔,简称 频率分辨率。 4.4.14 网络分析仪(Network Analyzer) 网络分析仪主要用来测量双端口网络的特性,如衰减器、 放大器、混频器、功率分配器等。Multisim 7提供的网络分析仪 可以测量电路的S参数、并计算出H、Y、Z参数。 Mode提供分析模式:Measurement测量模式;RFCharacterizer射频特性分析;Match Net Designer电路设计模式。Graph用来选择要分析的参数及模式,可选择的参数有S参数、H参数、Y参数、Z参数等。模式选择有Smith(史密斯模式)、Mag/Ph(增益/相位频率响应,波特图)、Polar(极化图)、Re/Im(实部/虚部)。Trace用来选择需要显示的参数。 Marker用来提供数据显示窗口的三种显示模式:Re/Im为直 角坐标模式;Mag/Ph(Degs)为极坐标模式;dB Mag/Ph (Deg)为分贝极坐标模式。 Settings用来提供数据管理,Load读取专用格式数据文件; Save存储专用格式数据文件;Exp输出数据至文本文件;Print打印数据。Simulation Set按钮用来设置不同分析模式下的参数。 4.4.15 仿真Agilent仪器仿真Agilent仪器有三种:Agilent信号发生器、Agilent万用表、Agilent示波器。这三种仪器与真实仪器的面板,按钮、旋钮操作方式完全相同,使用起来更加真实。 1. Agilent信号发生器 Agilent信号发生器的型号是33120A,其图标和面板如 图所示,这是一个高性能15 MHz的综合信号发生器。Agilent 信号发生器有两个连接端,上方是信号输出端,下方是接地 端。单击最左侧的电源按钮,即可按照要求输出信号。 2. Agilent万用表 Agilent万用表的型号是34401A,其图标和面板如图所示, 这是一个高性能6位半的数字万用表。Agilent万用表有五个连接端,应注意面板的提示信息连接。单击最左侧的电源按钮,即可使用万用表,实现对各种电类参数的测量。 3. Agilent示波器 Agilent示波器的型号是54622D,图标和面板如图所示,这 是一个2模拟通道、16个逻辑通道、100-MHz的宽带示波器。Agilent示波器下方的18个连接端是信号输入端,右侧是外接触发信号端、接地端。单击电源按钮,即可使用示波器,实现各 种波形的测量。 第5章 Multisim7的基本分析方法 主要内容? 5.1 直流工作点分析(DC Operating Point Analysis )? 5.2 交流分析(AC Analysis)? 5.3 瞬态分析(Transient Analysis)? 5.4 失真分析(Distortion Analysis)? 5.5 噪声分析(Noise Analysis)? 5.6 直流扫描分析(DC Sweep Analysis) Multisim7提供了十几种分析工具,本章介绍其中常用的7 种:直流工作点分析、交流分析、 瞬态分析、傅立叶分析、失 真分析、噪声分析和直流扫描分析。利用这些工具,可以了解 电路的基本状况、测量和分析电路的各种响应,其分析精度和 测量范围比用实际仪器测量的精度高、范围宽。本章将详细介 绍各种基本分析方法的作用、如何建立分析过程、分析工具中对话框的使用以及如何分析测试结果等内容。 5.1 直流工作点分析直流工作点分析也称静态工作点分析,电路的直流分析 是在电路中电容开路、电感短路时,计算电路的直流工作点, 即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。 了解电路的直流工作点,才能进一步分析电路在交流信号作 用下电路能否正常工作。求解电路的直流工作点在电路分析 过程中是至关重要的。 5.1.1构造电路为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作 点进行分析。在Multisim7工作区构造一个单管放大电路,电路 中电源电压、各电阻和电容取值如图所示。 执行菜单命令Simulate/Analyses,在列出的可操作分析类 型中选择DC Operating Point,则出现直流工作点分析对话框, 如图所示。直流工作点分析对话框包括3页。 1. Output variables页Output variables页用于选定需要分析的节点。左边Variables in circuit 栏内列出电路中各节点电压变量和 流过电源的电流变量。右边Selected variables for 栏用于存放需 要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分 析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先 选 中 它 , 然 后 点 击 Remove 按 钮 , 该 变 量 将 会 回 到 左 边 Variables in circuit 栏中。 2. Miscellaneous Options页 点击Miscellaneous Options按钮进入Miscellaneous Options 页,如图所示。其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的设置。如果有必要,也可以改变其中的分析选项。 3. Summary页 点击Summary按钮进入Summary页,如图所示。Summary 页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 5.1.3 检查测试结果直流工作点的测试结果如图所示。测试结果给出电路各个节点的电压值。根据这些电压的大小,可以确定该电路的静态 工作点是否合理。 如果不合理,可以改变电路中的某个参数,利用这种方法,可以观察电路中某个元件 参数的改变对电路直流工作点 的影响。 5.2 交流分析交流分析是在正弦小信号工作条件下的一种频域分析。它计算电路的幅频特性和相频特性,是一种线性分析方法。Multisim 7在进行交流频率分析时,首先分析电路的直流工作 点,并在直流工作点处对各个非线性元件做线性化处理,得到线性化的交流小信号等效电路,并用交流小信号等效电路计算电路输出交流信号的变化。在进行交流分析时,电路工 作区中自行设置的输入信号将被忽略。也就是说,无论给电 路的信号源设置的是三角波还是矩形波,进行交流分析时, 都将自动设置为正弦波信号,分析电路随正弦信号频率变化 的频率响应曲线。 5.2.1 构造电路这里仍采用单管放大电路作为实验电路,电路如图所示。这时,该电路直流工作点分析的结果如下:三极管的基极电 压约为0.653V,集电极电压约为4.656V,发射极电压为0V。 5.2.2启动交流分析工具执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择AC Analysis,则出现交流分析对话框,如图所示。 对话框中Frequency Parameters页的设置项目、单位以及默认值等内容见表所示。 5.2.3 检查测试结果 电路的交流分析测试曲线如图所示,测试结果给出电路的幅 频特性曲线和相频特性曲线,幅频特性曲线显示了3号节点 (电路输出端)的电压随频 率变化的曲线;相频特性曲 线显示了3号节点的相位随频 率变化的曲线。由交流频率 分析曲线可知,该电路大约 在7Hz ~ 24MHz范围内放大 信号,放大倍数基本稳定, 且相位基本稳定。超出此范 围,输出电压将会衰减,相 位会改变。 5.3 瞬态分析瞬态分析是一种非线性时域分析方法,是在给定输入激励信号时,分析电路输出端的瞬态响应。Multisim在进行瞬态分析时,首先计算电路的初始状态,然后从初始时刻起,到 某个给定的时间范围内,选择合理的时间步长,计算输出端 在每个时间点的输出电压,输出电压由一个完整周期中的各 个时间点的电压来决定。启动瞬态分析时,只要定义起始时间和终止时间,Multisim可以自动调节合理的时间步进值,以兼顾分析精度和计算时需要的时间,也可以自行定义时间步 长,以满足一些特殊要求。 5.3.1 构造电路 构造一个单管放大电路,电路中电源电压、各电阻和电 容取值如图所示。 5.3.2 启动瞬态分析工具执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择Transient Analysis,出现瞬态分析对话框,如图所示。 瞬态分析对话框中Analysis Parameters页的设置项目、单位以及默认值等内容见表所示。
5.3.3 检查分析结果放大电路的瞬态分析曲线如图所示。分析曲线给出输入节点5和输出节点3的电压随时间变化的波形,纵轴坐标是电 压,横轴是时间轴。从图中可以看出输出波形和输入波形的幅值相差不太大,这主 要是因为该放大电路晶体管 发射极接有反馈电阻,从而 影响了电路的放大倍数。 5.4 失真分析放大电路输出信号的失真通常是由电路增益的非线性与 相位不一致造成的。增益的非线性将会产生谐波失真,相位 的不一致将产生互调失真。Multisim失真分析通常用于分析那些采用瞬态分析不易察觉的微小失真。如果电路有一个交流信号,Multisim的失真分析将计算每点的二次和三次谐波的复 变值;如果电路有两个交流信号,则分析三个特定频率的复 变值,这三个频率分别是:(f1 +f2 ),(f1 -f2 ),(2f1 - f2)。 5.4.1构造电路 设计一个单管放大电路,电路参数及电路结构如图所示。 对该电路进行直流工作点分析后,表明该电路直流工作点设计合理。在电路的输入端加入一个交流电压源作为输入信号,其幅度为2mV,频率为1kHz。 5.4.2启动失真分析工具执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择Transient Analysis,则出现瞬态分析对话框,如图所 示。 失真分析对话框中Analysis Parameters页的设置项目、单位以及默认值等内容见表所示。 5.4.3检查分析结果电路的失真分析结果如图所示。由于该电路只有一个输入信号,因此,失真分析结果给出的是谐波失真幅频特性和 相频特性图。 5.5 噪声分析电路中的电阻和半导体器件在工作时都会产生噪声,噪声分析 就是定量分析电路中噪声的大小。Multisim提供了热噪声、散 弹噪声和闪烁噪声等3种不同的噪声模型。噪声分析利用交流 小信号等效电路,计算由电阻和半导体器件所产生的噪声总和。 假设噪声源互不相关,而且这些噪声值都独立计算,总噪声等于各个噪声源对于特定输出节点的噪声均方根之和。 5.5.1构造电路构造单管放大电路,双击信号电压源符号,在属性对话框中Distortion Frequency 1 Magnitude:项目下设置为1V。然后 继续分析该单管放大电路。 5.5.2启动噪声分析工具 执行菜单命令Simulate/Analyses,在列出的可操作分析类 型中选择Noise Analysis,则出现噪声分析对话框,如图所示。 噪声分析对话框中Analysis Parameters页的设置项目及其 注释等内容见表所示。 噪声分析对话框中Frequency Parameters页如图所示。其中设置项目及其注释等内容见下表所示。
5.5.3检查分析结果噪声分析曲线如图所示。其中上面一条曲线是总的输出 噪声电压随频率变化曲线,下面一条曲线是等效的输入噪声 电压随频率变化曲线。 5.6 直流扫描分析直流扫描分析是根据电路直流电源数值的变化,计算电 路相应的直流工作点。在分析前可以选择直流电源的变化范围和增量。在进行直流扫描分析时,电路中的所有电容视为开路,所有电感视为短路。 在分析前,需要确定扫描的电源是一个还是两个,并确 定分析的节点。如果只扫描一个电源,得到的是输出节点值 与电源值的关系曲线。如果扫描两个电源,则输出曲线的数 目等于第二个电源被扫描的点数。第二个电源的每一个扫描 值,都对应一条输出节点值与第一个电源值的关系曲线。 5.6.1构造电路 构造如图所示的MOS管电路,MOS管型号为2N7000,属 于N沟道增强型MOS管。现在要利用直流扫描来测绘MOS管的 输出特性曲线。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Sweep,则出现直流扫描分析对话框 直流扫描分析对话框中Analysis Parameters页中包含Source1和Source2两个区,区中设置项目及其注释等内容见表所示。 5.7.3检查分析结果 直流扫描分析曲线即MOS管的输出特性曲线,如图所示。 横坐标为MOS管的漏极电压,纵坐标是MOS管的漏极电流(尽管图上标的是Voltage)。每一条曲线都是MOS管漏极电压与漏极电流的关系曲线且对应一个固 定的栅极电压。 其中横坐标为MOS管的漏极电压,纵坐标实际上是MOS 管的漏极电流(尽管图上标的是Voltage)。每一条曲线都是 MOS管漏极电压与漏极电流的关系曲线,每一条曲线都对应 着一个固定的栅极电压,即对应着栅极电源的各个扫描值。 6绪论 CPLD/FPGA/ASIC诞生与发展概述一、常见英文缩写解释 二、硬件描述语言的诞生与发展 三、电子设计自动化(EDA)技术的发展 四、硬件描述语言(VHDL)的突出优点 五、可编程器件的发展 六、 现存问题与未来发展方向 一.常见英文缩写解释ASIC: Application Specific Integrated Circuit. 专用IC CPLD: Complex Programmable Logic Device. 复杂可编 程 逻辑器件 EDA: Electronic Design Automation. 电子设计自动化 FPGA: Field Programmable Gate Array. 现场可编程门阵列GAL: Generic Array Logic.HDL: Hardware Description Language.通用阵列逻辑硬件描述语言 IP: RTL: 述)Intelligent Property.智能模块PAL: Programmable Array Logic. 可编程阵列逻辑Register Transfer Level. 寄存器传输级 (描SOC: System On a Chip.SLIC: System Level IC.片上系统系统级ICVHDL: Very high speed integrated circuit Hardware Description Language. 超高速集成电路硬件描述语 言 二.硬件描述语言的诞生与发展1.硬件描述语言的起源为了把复杂的电子电路用文字文件方式描 述,诞生了最初的硬件描述语言。 国内外普遍使用的主流硬件描述语言有: (1) VHDL和Verilog HDL (2) ABEL和AHDL等。 2.VHDL :美国国防高级研究计划局(DARPA)开发。1985年第一版。1987年为IEEE标准(IEEE1076)。 1993年增修为IEEE1164标准。 1996年加电路合成标准程序和规格 IEEE1076.3标准。美国国防部规定其为官方 ASIC设计语言。 1995年中国国家技术监督局推荐VHDL为我 国硬件描述语言的国家标准。 3.Verilog HDL:以C语言为基础,由GDA(Gateway DesignAutomation)公司的Phil Moorby创建于1983年。 1989年CADENCE公司收购了GDA公司,拥有了 Verilog HDL的独家专利。于1990年正式发表了 Verilog HDL,并成立OVI(Open Verilog International)组织推进其发展。 1995年CADENCE公司放弃了Verilog HDL专利, 使之成为IEEE标准(IEEE1364)。 4.关于VHDL与Verilog HDL的使用情况相同电路用这两种硬件描述语言编程,程序长度大体相同。现在常用的各种仿真/综合工具均为二者通用。没有优劣之分。在日本,VHDL用户略多于Verilog HDL, 例如:NEC,日立,福田电子,丸文等公司 通常习惯使用VHDL;而松下,CASIO等公司 习惯使用Verilog HDL。 VHDL与其他HDL比较VHDL、 VerilogHDL、 ABEL和AHDL只是用不同的语言描述相同的电路。 如用不同的语言讲述安徒生童话“卖 火柴的小女孩”。 “告诉我你想要什么样的电路,我给 你提供这样的电路” 5.国内硬件描述语言书籍的常见问题: ①往往片面夸大某一种硬件描述语言的长处。 ②书中的例子尽管都声称通过了仿真合成验证,但仍常 见一些语法错误,合成时会出现“错误”或“警告”。 请大家不要过分相信教科书上的语法。③脱离实际应用,仅仅介绍最基本的概念和语法规定,虽有较复杂的例子但却少有解释,难于理解。 对实际应用中至关重要的编码技巧和避免出错的注意 事项,却往往只字不提。因此,新手入门往往要走很长 的弯路。 6.硬件描述语言的近期发展:目前及今后若干年内,VHDL和Verilog HDL仍将是硬件描述语言主角。 因为它们已经经过无数应用实例的验证,能够满足 各类复杂的逻辑功能要求,各种配套工具软件也非常 成熟完善。 至于Spec C, System C等新型硬件描述语言,将 来成为主流还是被淘汰出局,则与语言本身以及各种 配套工具软件是否功能更加强大,使用更加简便,更易于学习掌握,以及与人们已经习惯的语言及工具是否有相似性和延续性等因素有关。 三.电子设计自动化(EDA)技术的发展上世纪80年代,EDA还只能代替手工,画原理图 和流程图,设计生产机器可以读懂的印刷电路板图。到了90年代,出现了Altera公司的Maxplus Ⅱ等CPLD/FPGA工具软件,人们可以用Maxplus Ⅱ在 PC机上设计由众多标准逻辑芯片(如74系列等)组 成的电路原理图,然后再用它直接进行波形图仿真测 试,观察验证电路在各种输入情况下的输出信号波形, 及内部各点波形,并得到各点的延时信息,和电路” 正常“警告,”出错“等信息。 最后,将经过Maxplus Ⅱ将验证无误的电路写入 CPLD/FPGA芯片,放入电路板中进行整机测试,如 发现问题,修改原理图,波形仿真后重写 CPLD/FPGA ,重新进行整机测试,直至完全正确为 止。 整机中既可以使用CPLD/FPGA也可以制成 ASIC芯片(视批量大小而定)。 Maxplus Ⅱ的出现,使电子设计自动化(EDA)技 术大大向前推进了一步。 Maxplus Ⅱ不仅支持原理图输入,而且还支持VHDL、 Verilog HDL、以及AHDL等文本输入方式,是目前应用比较广泛的可编程逻辑器件开发软件。 Quartus Ⅱ是ALTERA公司推出的另一个可编程逻辑器件开发软件,它支持原理图输入、HDL、Verilog HDL 和AHDL输入方式。 到了90年代后期,由于硬件描述语言的完善,尤其 是相应的编译,测试,合成,布线等电子设计自动化 (EDA)工具软件的发展与完善,硬件描述语言(HDL)终 于进入了成熟实用阶段。这无疑是(数字)电路设计 史上最具革命性的飞跃。 自此,人们实现了用简明易懂的高级编程语言设计复杂硬件电路的梦想。只要具备一定的硬件专门知识,就能随心所欲地设计出功能十分强大的专用智能电路, 实现了“以软代硬”。 四、硬件描述语言(VHDL)的突出优点1. 打破了IC设计者与使用者的界线,使原先的IC使 用者在掌握了VHDL之后,都变成了IC设计者,都 能 够随心所欲地设计出具备多个CPU功能的复杂专用 芯片。 2. VHDL及其配套工具软件简单易学,直观明了,便于迅速掌握,也便于修改。3.极大地缩短了专用芯片的开发周期,降低开发成本, 加快了产品更新换代的速度,提高产品的市场竞争 力。 4. 大大缩小电路板面积和整机体积,提高产品可靠性,增强产品功能,实现技术保密。5. 可实现电路设计的模块化和积木式多级组合。各模 块均可在今后被重复再利用(调用)。 6 . 完全实现拥有整机的自主知识产权,不再在关键芯 片(专用芯片)的进口及价格方面受制于人。这一点对目前我国尤为重要。 五、可编程器件的发展PROM (EPROM, EEPROM) PAL/GAL芯片(几 十个门/20Pin) FPGA/CPLD(八十年代中期,Xilinx和Altera公司推出几十―几千个通用IC规模的FPGA芯片。目前已发展到数千万门/3000Pin/IC的规 模)。系统芯片SOC (集模拟信号采集/转换/存储/处理/接口/各种 IP电路于一体,包含模拟/数字信号处理电路, 存储器,CPU等。) 例如:SDRAM(DDR)读写控制电路;以太网数据收发 注:IP(也称“核”core) 是指由硬件描述语言 电路等等。 (HDL)设计,经过实践证明正确无误的“通用”硬件功能模 块。用户可以直接使用而不需进行设计/验证。 六、HDL/ASIC/EDA的现存问题与未来发展方向1.硬件描述语言(HDL) 的现存问题与未来发展 方向:VHDL或Verilog HDL目前尚无法用于描述模拟电 路,跟不上系统芯片SOC(集模/数于一身)的发展要 求。人们正期待一种模/数电路兼容的硬件描述语言 (HDL) 诞生。2. ASIC的现存问题与未来发展方向:简化工艺,降低成本 缩短ASIC设计周期 (1) 简化工艺,降低成本: 如今,随着ASIC制造工艺朝着小于0.1um的方向快 速推进(NEC已宣称达到了0.1um, SONY和东芝也在 共同投入15亿美圆争取尽早实现0.07um―0.1um的目标),ASIC的集成度和制造成本都在直线上升。而实际应用的ASIC中,78%的ASIC的门数不超过 100万门,无须采用7级到8级金属工艺,3到4级足矣。 (2)缩短ASIC设计周期:ASIC设计周期一般为1―12个月,制造周期亦需大 致相同的时间。HDL编码一般需1/4―1/3时间,其余为合成/仿真 (局部/总体/实机)/后仿真的时间。 随着产品市场竞争的加剧,更新换代速度加快,缩 短设计制造周期的呼声日益增强;而另一方面,ASIC的功能和复杂程度也在日益提高, 又使得缩短周期变得更加困难。现在,很多著名公司都已投入巨资,致力于这方面 的研究开发工作,力求明显缩短ASIC设计及制作周期。 为缩短设计周期,设计时尽可能多地采用IP模块也是 趋势之一。目前,NEC,富士通,IBM,TI等公司都已有很丰 富的IP库,并且可以将其直接集成到用户ASIC芯片中去。 不过,一般国内用户却未必能承受得起在这些公司购 买IP和定制ASIC的费用。 另外,有关IP的知识产权保护 以及国际标准化等问题也尚在探讨之中,阻碍了IP应用 普及的步伐。 3.EDA的现存主要问题: 主要是无法对模拟/数字/各种IP混合的ASIC(SOC)进 行设计,编译,仿真,合成。另外,需要更加简单高效 的设计/编译/仿真/合成工具,以缩短研发周期。 第7章 硬件描述语言VHDL7.1 VHDL语言的基本结构 7.2 VHDL语言的基本知识 7.3 VHDL的主要描述语句 7.4 设计举例 7.1 VHDL语言的基本结构一个完整的VHDL程序或设计实体,要求能为VHDL综合器所支持,并能作为一个独立的设计单元, 即元件的形式而存在的VHDL程序。通常VHDL程序包含五个部分:(1)实体(ENTITY)(2)结构体(ARCHITECTURE) (3)包集合(PACKAGE) (4)库(LIBRARY) (5)配置(CONFIGURATION) 实体和结构体是必需的――可构成最简单VHDL程序。 1 实体实体是VHDL语言设计的基本单元。实体说明是对设计实体与外部电路的接口描述, 它规定了设计单元的输入输出接口信号或引脚, 是设计实体对外的一个通信界面。结构体用于描述此设计实体的逻辑结构和逻辑功能。 实体语句结构如下:ENTITY 实体名 IS[GENERIC(类属表);] PORT(端口表); END ENTITY 实体名; 例:ENTITY or2 ISPORT(a,b:IN STD_LOGIC; C: OUT STD_LOGIC);END ENTITY or2; 在层次化系统中,实体说明是整个模块 或整个系统的输入输出(I/O)接口 在器件级设计中实体说明是芯片的输入 输出(I/O) (1)类属参量(GENERIC)? 类属参量是实体说明组织中的可选项, 放在端口说明之前,其一般格式为: ? GENERIC [CONSTANT] 名字表: [IN] 子类型标识 [:= 静态表达式],…] 类属参量用来规定端口的大小、实体 中子元件数目及实体的定时特性等。它和常数不同,常数只能从设计实体的内部 得到赋值且不能改变;而类属参量的值可由设计 实体的外部提供。 ? 其一般书写格式为:? PORT (端口名 :端口模式 数据类型; ? 端口名 :端口模式 数据类型; ? … …); 例:GENERIC (trise,tfall:TIME:=1 Addrwidth:INTEGER:=16); PORT(a0, a1 : IN STD_LOGIC; Add_bus:OUT STD_LOGIC_VECTOR(addrwidth-1 DOWNTO 0);这里类属参量中参数trise为上升沿宽度,tfall为下 降沿宽度,用于仿真模块的设计; 定义地址总线的宽度为Addrwidth位,类属值 Addrwidth的改变将使结构体中所有相关的总线定义同 时改变,由此使整个设计实体的硬件结构发生变化。 (2) 端口说明(PORT)端口是对基本设计单元与外部接口的 描述。 其功能相当电路图符号的外部引脚。端口可以被赋值,也可以当做逻辑 变量用在逻辑表达式中。 其中端口名是设计者为实体的每一个对外通道 所取的名字,通常为英文字母加数字,名字的定义有 一定的惯例,如clk 表示时钟,D开头的端口名表示 数据,A开头的端口名表示地址。端口模式是指这些 通道上的数据流动的方式,如输入或输出等。端口模式有以下几种类型:①.输入(IN)允许信号进入实体,主要用于时钟输入、控制输入 (如load、reset、enable、clk)和单向的数据输入 (如地址数据信号address)等。 ②输出(OUT)输出模式只允许信号离开实体,常用于计数输出、单向数据输出、被设计实体产生的控制其他实体的信号等。 注意:输出模式不能用于被设计实体的内部反馈,因为输 出端口在实体内不能看做是可读的。③双向模式(INOUT)双向模式允许信号双向传输(既可以进入实体,也可 以离开实体),双向模式端口允许引入内部反馈。 ④缓冲(BUFFER)缓冲模式允许信号输出到实体外部,但同时也可以在实体内部引用该端口的信号。缓冲端口既能用于输 出也能用于反馈。缓冲模式用于在实体内部建立一个 可读的输出端口,例如计数器输出、计数器的现态用 来决定计数器的次态。端口模式可用下图说明,图中方框代表一个设计实体或 模块。 在VHDL设计中,通常将输入信号端口指定为输入 模式,输出信号端口指定为输出模式,而双向数据通 信信号,如计算机PCI总线的地址/数据复用总线,DMA控制器数据总线等纯双向的信号采用双向端口模式。从端口的名称、模式就能一目了然地指导信号的 用途、性质、来源和去向。 2 结构体结构体也叫构造体,结构体描述了基本设计单元 (实体)的结构、行为、元件及内部连接关系,也就 是说它定义了设计实体的功能,规定了设计实体的数 据流程,制定了实体内部元件的连接关系。结构体对 其基本设计单元的输入和输出关系可用以下三种方式 进行描述,即: 行为描述(基本设计单元的数学模型描述)、寄存器传 输描述(数据流描述)和结构描述(逻辑元件连接描述) 结构体是对实体功能的具体描述,因此它一定要跟 在实体的后面 。 结构体一般由两大部分组成:(1)对数据类型、常数、信号、子程序和元件等因素 进行说明的部分; (2)描述实体的逻辑行为、以各种不同的描述风格表 达的功能描述语句,包括各种顺序语句和并行语句。 结构体的语句格式为: ARCHITECTURE 结构体名 OF 实体名 IS [定义语句]BEGIN[功能描述语句] END 结构体名; 结构体名 例:结构体的信号定义实例。 ARCHITECTURE rtl OF muj IS 实体名SIGNAL s1:BIT结构体信号定义语句SIGNAL s2,s3:STD_LOGIC_VECTOR (0 TO 3); ┇ BEGIN ┇ END rtl; 功能描述语句 结构体构造图:(Flash演示)结构体(ARCHITECTURE)说明语句 功能描述语句 块语句(BLOCK) 进程语句(PROCESS) 信号赋值语句 子程序调用语句 元件例化语句由一系列并行语句构 成的组合体,它的功能是 将结构体中的并行语句组 定义顺序语句模块,用 成一个或多个子模块 以将从外部获得的信号值 或内部运算数据向其他的 将设计实体内的处理 信号进行赋值 结果向定义的信号或界 可以调用进程或参 面端口进行赋值 对其他的设计实体做 数,并将获得的结果 元件调用说明,并将此 赋值于信号 元件的端口与其他元件、 信号或高层实体的界面 端口进行连接 例: 2选1数据选择器ENTTITY mux2 IS PORT (d0,d1:IN BIT; sel:IN BIT; s:OUT BIT); END mux2; ARCHITECTURE dataflow OF mux2 IS SIGNAL sig:BIT; BEGIN Sig &= (d0 AND sel) OR (NOT sel AND d1); S&=sig; END dataflow;信号定义语句(内部信号,无方向) 功能描述语句 3 库和程序包库和程序包用来描述和保留元

我要回帖

更多关于 c语言经典程序100例 的文章

 

随机推荐