Verilog大100分作业帮免费安装求助

hit_the_block
说明:&&数字逻辑课程大作业,使用verilog语言编写的打砖块游戏。通过FPGA按钮控制弹板移动,反弹小球,控制小球方向,击打砖块。有VGA模块。(Digital Logic Courses big operations, the use of Verilog language brick game. The FPGA button controls the movement of the board, bounces the ball, controls the direction of the ball, and strikes the brick. There are VGA modules.)
文件列表:
hit_the_block
hit_the_block\_ngo
hit_the_block\_ngo\netlist.lst
hit_the_block\_xmsgs
hit_the_block\_xmsgs\bitgen.xmsgs
hit_the_block\_xmsgs\map.xmsgs
hit_the_block\_xmsgs\ngdbuild.xmsgs
hit_the_block\_xmsgs\par.xmsgs
hit_the_block\_xmsgs\pn_parser.xmsgs
hit_the_block\_xmsgs\trce.xmsgs
hit_the_block\_xmsgs\xst.xmsgs
hit_the_block\fuse.log
hit_the_block\fuse.xmsgs
hit_the_block\fuseRelaunch.cmd
hit_the_block\game_graph_top.bgn
hit_the_block\game_graph_top.bit
hit_the_block\game_graph_top.bld
hit_the_block\game_graph_top.cmd_log
hit_the_block\game_graph_top.drc
hit_the_block\game_graph_top.lso
hit_the_block\game_graph_top.ncd
hit_the_block\game_graph_top.ngc
hit_the_block\game_graph_top.ngd
hit_the_block\game_graph_top.ngr
hit_the_block\game_graph_top.pad
hit_the_block\game_graph_top.par
hit_the_block\game_graph_top.pcf
hit_the_block\game_graph_top.prj
hit_the_block\game_graph_top.ptwx
hit_the_block\game_graph_top.stx
hit_the_block\game_graph_top.syr
hit_the_block\game_graph_top.twr
hit_the_block\game_graph_top.twx
hit_the_block\game_graph_top.unroutes
hit_the_block\game_graph_top.ut
hit_the_block\game_graph_top.v
hit_the_block\game_graph_top.xpi
hit_the_block\game_graph_top.xst
hit_the_block\game_graph_top_beh.prj
hit_the_block\game_graph_top_bitgen.xwbt
hit_the_block\game_graph_top_envsettings.html
hit_the_block\game_graph_top_guide.ncd
hit_the_block\game_graph_top_isim_beh.exe
hit_the_block\game_graph_top_isim_beh.wdb
hit_the_block\game_graph_top_isim_beh1.wdb
hit_the_block\game_graph_top_map.map
hit_the_block\game_graph_top_map.mrp
hit_the_block\game_graph_top_map.ncd
hit_the_block\game_graph_top_map.ngm
hit_the_block\game_graph_top_map.xrpt
hit_the_block\game_graph_top_ngdbuild.xrpt
hit_the_block\game_graph_top_pad.csv
hit_the_block\game_graph_top_pad.txt
hit_the_block\game_graph_top_par.xrpt
hit_the_block\game_graph_top_summary.html
hit_the_block\game_graph_top_summary.xml
hit_the_block\game_graph_top_test.bmm
hit_the_block\game_graph_top_test.v
hit_the_block\game_graph_top_test_isim_beh.exe
hit_the_block\game_graph_top_test_isim_beh.wdb
hit_the_block\game_graph_top_test_isim_beh1.wdb
hit_the_block\game_graph_top_usage.xml
hit_the_block\game_graph_top_xst.xrpt
hit_the_block\Game_process.gise
hit_the_block\game_process.v
hit_the_block\Game_process.xise
hit_the_block\game_process2.v
hit_the_block\iseconfig
hit_the_block\iseconfig\game_graph_top.xreport
hit_the_block\iseconfig\Game_process.projectmgr
hit_the_block\isim
hit_the_block\isim\game_graph_top_isim_beh.exe.sim
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\game_graph_top_isim_beh.exe
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\isimcrash.log
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\ISimEngine-DesignHierarchy1.dbg
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\isimkernel.log
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\libPortability.dll
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\netId1.dat
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\tmp_save
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\tmp_save\_1
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\game_graph_top_isim_beh.exe_main.c
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\game_graph_top_isim_beh.exe_main.nt64.obj
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_3017034.c
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_3017034.didat
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_3017034.nt64.obj
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_8777047.c
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_8777047.didat
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_8777047.nt64.obj
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_5366235.c
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_5366235.didat
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_5366235.nt64.obj
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_3120511.c
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_3120511.didat
hit_the_block\isim\game_graph_top_isim_beh.exe.sim\work\m_3120511.nt64.obj
hit_the_block\isim\game_graph_top_test_isim_beh.exe.sim
hit_the_block\isim\game_graph_top_test_isim_beh.exe.sim\game_graph_top_test_isim_beh.exe
hit_the_block\isim\game_graph_top_test_isim_beh.exe.sim\isimcrash.log
hit_the_block\isim\game_graph_top_test_isim_beh.exe.sim\ISimEngine-DesignHierarchy.dbg
hit_the_block\isim\game_graph_top_test_isim_beh.exe.sim\ISimEngine-DesignHierarchy1.dbg
近期下载者:
相关文件:21ic官方微信-->
求助一个Verilog例化的问题
中级技术员, 积分 245, 距离下一级还需 55 积分
中级技术员, 积分 245, 距离下一级还需 55 积分
中级技术员, 积分 245, 距离下一级还需 55 积分
中级技术员, 积分 245, 距离下一级还需 55 积分
本帖最后由 dobypig 于
14:46 编辑
这里要实现一个16路的16位移位寄存器,代码上对一个移位寄存器多次调用,输出数据组合成一个256位的端口,代码如下:
module shift16(//shift16
& & input rst,
& & input shift_clk,
& & input shift_data,
& & output reg [15:0] data_out
always@(posedge shift_clk or posedge rst)begin
& & if(rst)data_out &= 0;
& & else data_out[15:0] &= {data_out[14:0],shift_data};
module shift16_x16(
& & input rst,
& & input shift_clk,
& & input [15:0] shift_data,
& & output wire [255:0] data_out_o
& & for (i=0; i & 16; i=i+1)//
& & begin: shift_generate
& && & shift16 shift16_i(//
& && && && &.rst(rst),
& && && && &.shift_clk(shift_clk),
& && && && &.shift_data(shift_data),
& && && &&& .data_out(data_out_o[i*16+15:i*16])
& && && && &);
endgenerate& && &&&
期望的效果是16个16位端口合并成一个256位端口,但是在RTL中,并没有达到这样的效果,而是[15:0]全部都并联了,[255:16]都没用上,也就是说标红的那句没有达到预期的效果,这是RTL视图:
各位帮忙分析分析
本帖子中包含更多资源
才可以下载或查看,没有帐号?
移位的时候不是直接移位赋值就行了吗?楼主怎么还循环赋值呢
中级技术员, 积分 245, 距离下一级还需 55 积分
中级技术员, 积分 245, 距离下一级还需 55 积分
中级技术员, 积分 245, 距离下一级还需 55 积分
中级技术员, 积分 245, 距离下一级还需 55 积分
移位的时候不是直接移位赋值就行了吗?楼主怎么还循环赋值呢
是循环例化了16次
实习生, 积分 6, 距离下一级还需 44 积分
实习生, 积分 6, 距离下一级还需 44 积分
实习生, 积分 6, 距离下一级还需 44 积分
实习生, 积分 6, 距离下一级还需 44 积分
楼主为什么
.shift_data(shift_data),
扫描二维码,随时随地手机跟帖
技术高手奖章
人才类勋章
时间类勋章
核心会员奖章
等级类勋章
坚毅之洋流
发帖类勋章
技术领袖奖章
人才类勋章
时间类勋章
您需要登录后才可以回帖
热门推荐 /6VLSI期末大作业_百度文库
您的浏览器Javascript被禁用,需开启后体验完整功能,
享专业文档下载特权
&赠共享文档下载特权
&10W篇文档免费专享
&每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
VLSI期末大作业
上海交通大学电院电科专业|
总评分0.0|
用知识赚钱
试读已结束,如果需要继续阅读或下载,敬请购买
定制HR最喜欢的简历
你可能喜欢豆丁微信公众号
君,已阅读到文档的结尾了呢~~
哈工大verilog大作业
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
哈工大verilog大作业
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='http://www.docin.com/DocinViewer--144.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口

我要回帖

更多关于 在线用作业帮拍照搜题 的文章

 

随机推荐