为什么modelsim教程 work库里总是有个OPT

modelsim的错误!
你把xilinx所有的库都编译到你的work目录下,试一下吧,应该是可以的哦
UID6333&帖子84&精华0&积分1966&资产1966 信元&发贴收入0 信元&推广收入0 信元&附件收入2388 信元&下载支出634 信元&阅读权限30&在线时间14 小时&注册时间&最后登录&
modelsim的错误!
!!!!!!!!!!
我找不到SRL16和DLL是放在哪个库里了。
UID539&帖子1454&精华1&积分4119398&资产4119398 信元&发贴收入325 信元&推广收入0 信元&附件收入94853 信元&下载支出1300 信元&阅读权限120&在线时间17 小时&注册时间&最后登录&
modelsim的错误!
如果是做前仿真,调用unisims_ver:vsim -L unisims_ver ...
如果是做后仿真,调用simprims_ver:vsim -L simprims_ver ...
UID6333&帖子84&精华0&积分1966&资产1966 信元&发贴收入0 信元&推广收入0 信元&附件收入2388 信元&下载支出634 信元&阅读权限30&在线时间14 小时&注册时间&最后登录&
modelsim的错误!
# ** Error: (vsim-3043) E:/Modeltech_xe/xilinx/verilog/src/unisims/OBUF.v(23): Unresolved reference to 'glbl' in glbl.GTS.
着又是什么回事?
下面是库里OBUF的程序
`timescale&&100 ps / 10 ps
module OBUF (O, I);
& & parameter CAPACITANCE = &DONT_CARE&;
& & parameter DRIVE = 12;
& & parameter IOSTANDARD = &LVCMOS25&;
& & parameter SLEW = &SLOW&;
& & output O;
& & input&&I;
& & tri0 GTS = glbl.GTS;//说该行有错!!!!!!!!!
& & bufif0 B1 (O, I, GTS);
UID539&帖子1454&精华1&积分4119398&资产4119398 信元&发贴收入325 信元&推广收入0 信元&附件收入94853 信元&下载支出1300 信元&阅读权限120&在线时间17 小时&注册时间&最后登录&
modelsim的错误!
在Xilinx安装目录中拷贝glbl.v,并编译,执行vsim -L your_libs you_top glbl
UID6333&帖子84&精华0&积分1966&资产1966 信元&发贴收入0 信元&推广收入0 信元&附件收入2388 信元&下载支出634 信元&阅读权限30&在线时间14 小时&注册时间&最后登录&
modelsim的错误!
有没有一劳永逸的方法呀!
这样感觉太麻烦了!
UID539&帖子1454&精华1&积分4119398&资产4119398 信元&发贴收入325 信元&推广收入0 信元&附件收入94853 信元&下载支出1300 信元&阅读权限120&在线时间17 小时&注册时间&最后登录&
modelsim的错误!
一劳永逸的办法就是使用MXE
UID6333&帖子84&精华0&积分1966&资产1966 信元&发贴收入0 信元&推广收入0 信元&附件收入2388 信元&下载支出634 信元&阅读权限30&在线时间14 小时&注册时间&最后登录&
modelsim的错误!
我用的就是Modelsim XE呀!???
怎么还会这样?
UID539&帖子1454&精华1&积分4119398&资产4119398 信元&发贴收入325 信元&推广收入0 信元&附件收入94853 信元&下载支出1300 信元&阅读权限120&在线时间17 小时&注册时间&最后登录&
modelsim的错误!
你是在ISE集成环境中运行吗?
[通过 QQ、MSN 分享给朋友]
下载医疗电子精品资料,赢取小爱智能音箱!还可获取300信元!初学modelsim 6.0 se遇到的一个问题,急求解决-电子产品世界论坛
初学modelsim 6.0 se遇到的一个问题,急求解决
我用modelsim 6.0 se 仿真,用向导生成了个testbench的Verilog文件,可是这个文件怎么不能在modelsim里面编辑?
具体步骤是:
先建立一个空白的文本文件,然后creat testbench,然后选定相应库里的待测试的文件,然后用的默认设置,最后完成。
右下角状态是 ,并且产生的这个.v文件不能在modelsim里面编辑。
问题应该就出在这个read的状态上,请问这个该怎么解决?
等等看,高手马上就来~
我基本都不在Modelsim里直接建立文件,推荐用外部编辑器,如UltraEdit,很方便。然后自己写do脚本进行仿真
不要在Modelsim里直接建立文件 可能就可以解决了
恩,也只有这样了
还有这种事。刚学要注意点了
DDDDDDDDDDDDD
匿名不能发帖!请先 [
Copyright (C) 《电子产品世界》杂志社 版权所有使用modelsim软件仿真,代码编写好后点击simulate后出现错误:Error loading design,请问怎么解决?_百度知道
使用modelsim软件仿真,代码编写好后点击simulate后出现错误:Error loading design,请问怎么解决?
这个问题是出在哪里的错误,怎么解决这个错误。
我有更好的答案
loading design的东西 就是你对每个模块编译后的东西,也就是你在work库里出现的东西 提示你加载设计错误,就是说明你在家的东西,在work库里没有 这可能是你testbench没有写好 或者相关的文件没有添加到modelsim里正确编译
采纳率:94%
来自团队:
为您推荐:
其他类似问题
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。vhdl代码有点问题,求助
[问题点数:40分]
本版专家分:0
CSDN今日推荐
本版专家分:6831
本版专家分:678
本版专家分:0
本版专家分:0
本版专家分:678
本版专家分:678
本版专家分:0
本版专家分:678
本版专家分:0
本版专家分:678
本版专家分:40
匿名用户不能发表回复!|
其他相关推荐【图文】modelsim使用手册_百度文库
您的浏览器Javascript被禁用,需开启后体验完整功能,
享专业文档下载特权
&赠共享文档下载特权
&10W篇文档免费专享
&每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
modelsim使用手册
阅读已结束,下载本文到电脑
想免费下载本文?
登录百度文库,专享文档复制特权,积分每天免费拿!
你可能喜欢

我要回帖

更多关于 modelsim altera 的文章

 

随机推荐