Verilog例化线网?

我想把计时器模块的reg型的输出Q3Q2Q1Q0输給下一个模块的数码管的输入译码该怎么实现啊?比如说计时器输出0001那数码管就接受到这个然后显示1。... 我想把计时器模块的reg型的输出Q3Q2Q1Q0輸给下一个模块的数码管的输入译码该怎么实现啊?比如说计时器输出0001那数码管就接受到这个然后显示1。

在顶层用wire 实现跨模块传输

你對这个回答的评价是

我要回帖

更多关于 理线 的文章

 

随机推荐