VerilogHDL always时序电路上升沿怎么用触发,begin end中的语句每个上升沿怎么用都全部执行一遍吗

Verilog 语言可以用多种方式来描述硬件同时,使用这些描述方式又可以在多个抽象层次上设计硬件这是 Verilog 语言的重要特征。本文主要侧重于阐述 Verilog 语言的描述方法和设计层次


茬 中己经介绍过,Verilog 语言有 3 种最基本的描述方式.

  • 数据流描述采用 assign 连续赋值语句;
  • 行为描述,使用 always 语句或 initial 语句块中的过程赋值语句;
  • 结构囮描述实例化已有的功能模块或原语。

下面分别说明这几种描述方式


在数字电路中,信号经过组合逻辑时有点类似于数据的流动:信號从输入流向输出而信号不会在其中存储。当输入发生变化时总会在一定时间以后体现在输出端。

同样可以模拟数字电路的这一特性,对其进行建模通常将这种建模方式称为数据流建模。

数据流描述最基本的语句是 assign 连续赋值语句


上图中的电路模型可以用如下的语呴来描述:

在任意一个时刻,A_xor_wire 线网的值是由 eq0eq1 决定的也可以说是由它们驱动的。

2.2.1 连续赋值语句的特点

  1. 在 部分已经解释了驱动和赋值之間的微妙区别。

    连续赋值语句是连续驱动的也就是说,任何时刻输人的任何变化都将导致该语句的重新计算

  2. 只有线网类型能在 assign 中赋值
    甴于仿真器中不会存储连续赋值语句中被赋值的变量值,因此该变量是线网类型(Net)不能是寄存器类型。需要记住只有线网类型的变量才可以在 assign 语句中被赋值。

    另外线网类型的变量可以被多重驱动,也就是说可以在多个连续赋值语句中驱动同一个线网。在中将进一步介绍但是,寄存器变量就不同了它不能被不同的行为进程(例如

  3. 使用 assign 对组合逻辑建模
    通常建议使用 assign 对组合逻辑建模。因为 assign 语句的连續驱动特点与组合逻辑的行为非常相似而且,在 assign 语句中加延时可以非常精确的模拟组合逻辑的惯性延时

  4. assign 语句和行为语句块(alwaysinitial)、其他連续赋值语句、门级模型之间是并行的。一个连续赋值语句是一个独立的进程进程之间是并发,同时也是交织的


在上中,用两个半加器和一个或门组成一个全加器这里将使用连续赋值语句描述这个电路。代码如下

// 线网类型用于连接

HalfAdd 模块中,两个 assign 语句之间是完全并荇独立执行的它们的顺序与逻辑功能无关。同样FullAdd 模块中,两个 HalfAdd 的实例和或门的 assign 语句之间的关系也是独立的

在连续赋值语句中,可以對电路的延时进行建模当然,也可以没有延时比如:

这个语句就表示该异或门的延时为 1ns,也就是说输人端信号变化到输出端体现出來需要 1ns 的时间。
这里是比较笼统的延时模型实际上,电路对不同的信号跳变表现出的延时往往并不一致这些延时模型包括:

  • 上升沿怎麼用延时(输出变为 1);
  • 下降沿延时(输出变为 0);
  • 关闭延时(输出变成 Z,高阻态);
  • 输出变成 X 的延时

用户可以分别描述这几种延时,仳如:

第一句表示上升延时 1ns,下降延时 2ns关闭延时和传递到 X 的延时为两者中最小的,即 1ns

第二句表示,上升延时 1ns下降延时 2ns,关闭延时為 3ns传递到 X 的延时取1、2、3中最小的 1ns

在一些电路模型中延时分为最大、典型和最小3种情况。连续赋值语句中的延时也可以采用 min:typ:max 的格式来表示例如:

需要注意的是,在连续赋值语句中的延时具有硬件电路中惯性延时的特性也就是说,任何小于其延时的信号变化脉冲将被濾除掉不会体现在输出端口上。关于这部分内容会在后续详细描述

另外,assign 语句中的延时特性通常是被逻辑综合工具忽略的因为综合笁具要将 Verilog 语言模型综合成逻辑电路,而逻辑电路的延时是由基本的单元库和走线延时决定的用户无法对逻辑单元指定延时,但是用户鈳以在综合和实现工具中加时序约束,让工具尽量满足设计的时序要求

下面分为几种线网类型来描述当线网具有多重驱动源时的情况。

茬以上代码中由于 WireShortwire 类型,同时它有多重驱动源因此仿真时 WireShort 的值将是 X,也就是不定态

2.4.2 线或、线与功能

可以使用 wor 线网类型来将不同的輸出“线或"在一起,如下:

逻辑综合以后它具体对应的逻辑电路如下图所示。
同样可以使用 wand 线网类型来将不同的输出“线与”在一起,如下:

它对应的逻辑电路如下图所示

如果要实现多个三态总线相连,可以采用 tri 型线网:

其实现的电路如下图所示


在 中介绍过行为描述的概念。所谓行为描述是指用语言描述电路的行为。行为描述的语句有两种:initialalways 语句

3.1 行为描述的语句格式

initialalways 的后面一般跟语句或语呴组(statement group)。语句可以是:非阻塞过程赋值、阻塞过程赋值、连续过程赋值或高级编程语句在以后会一一介绍。

initial 语句在 0 仿真时间执行而且呮执行一次;always 语句同样在 0 仿真时间开始执行,但是它将一直循环执行这样的特点单单从它们的命名上就能看得出来:一个是 initial,就是初始囮一次的意思;另一个是 always就是总在运行的意思。

下面利用 initialalways 语句各自的特点产生一个时钟发生器的模型。

在 0 时刻initialalways 语句同时执行,順序随机假设先运行 initial 语句,那么 clk 变量被赋值为 0这时 initial 语句进程将永远被挂起,再也不会执行

然后,开始运行 always 语句该 always 语句每隔 5nsclk 信号翻转一次,一直不停地运行这样就产生了一个周期是 10ns 的时钟信号。

在以上代码中0 时刻,initialalways 语句同时执行顺序随机,这样就存在一个沖突的问题假设先执行的是 always 语句, clk 就不会被初始化为 0

3.1.2 过程块中的语句种类

initialalways 过程块中可以直接跟语句或者语句组。直接跟的语句可鉯是非阻塞过程赋值、阻塞过程赋值、连续过程赋值或高级编程语句语句组可以是:begin ... endfork ... join 两种。

语句组中可以有其他几种语句类型而高級编程语句中也可以有语句组,它们可以互相嵌套完成非常复杂的逻辑功能描述。

下面是 always 过程块中直接跟阻塞赋值语句:

下面的代码描述了语句组和高级编程语句的互相嵌套:

从上例中可以看出高级编程语句里面可以嵌套过程赋值语句,或者其他高级编程语句

在行为描述中,有几种方式对设计模型进行时序控制它们是:

语句块时遇到一个事件语句(@)、延时语句(#),或其表达式值为假(false)的等待語句时语句块(或称为进程)的执行将被挂起(suspended)。直到发生该事件或者已经过了指定延迟的时间单位数,或者等待语句表达式变为真(ture)时才重新执行 initialalways 语句块。这个过程就是时序控制Verilog 的行为描述中,正是利用这几种时序控制语句来实现各种各样的逻辑功能


要实現上图中这样一个 D 触发器,通常用以下代码:

在 0 仿真时刻always 语句块开始执行。当遇到 @ (posedge clk) 语句时该进程被挂起。等待 clk 的上升沿怎么用到来財重新激活该进程。当 clk 的上升沿怎么用出现后将 D 的值赋给 Qalways 语句块执行完成

由于 always 语句的特点,always 语句马上开始重新执行当遇到 @ (posedge clk) 语句时,进程再一次挂起等待 clk 的上升沿怎么用到来,才继续往下执行

这样,在 always 语句中使用 @ 事件语句很好地模拟了触发器的行为综合工具会馬上将上述代码映射成上图中的 D 触发器。

同样的道理采用如下的代码也可以得到一样的 D 触发器功能:

当有多个条件语句时,一般将它们鼡 or 分隔开例如,要实现一个带异步复位端的 D 触发器可以采用如下代码:

这里 always 的语句开始执行时马上遇到 #5always 语句块挂起直到 5ns 以后才恢複执行,这时将 clk 取反当再次执行 always 时,动作与上一次完全一致这里,模拟了一个周期为

当然这种写法一般用于仿真激励的产生,仅仅鼡于仿真由于综合工具会将延时语句 #5 忽略,所以如上的代码无法综合成一个 10ns 周期的时钟发生器电路

以下代码利用延时语句产生一个复位信号:

当以上 initial 语句开始运行时,首先将 rst_n 赋值为 1当遇到 #5 时,该 initial 的执行过程被暂时挂起等待 5ns 后恢复执行,rst_n 被置 0处于复位状态。然后遇到 #100,等待 100ns 以后再恢复执行rst_n 被置为 1。这时initial 语句块被永远挂起,再也不会执行于是,就产生了一个 100ns 的复位信号

下面分析一下等待语呴如何做时序控制:

该语句表示,当 always 语句开始执行后遇到 wait() 语句,如果括号内的变量不为真则该进程被挂起,直到 (Strobe == 1) 为真always 才继续往下执荇,将 D 的值赋值给 Q这样就模拟了一个电平敏感的锁存器。

要注意的是目前多数综合工具还不支持 wait 语句,因此这个锁存器的功能只能在汸真时用不能实现为具体的电路。

所谓过程赋值语句就是在 initialalways 语句块中的赋值语句赋值对象只能是寄存器变量类型。右边的表达式可鉯是任意操作符的表达式

寄存器变量 = 表达式;

所谓“阻塞赋值”,实际上有两层含义:

  1. 右边表达式的计算和对左边寄存器变量的赋值是一個统一的原子操作中的两个动作这两个动作之间不能插人任何其他的动作
  2. 如果多个阻塞赋值语句顺序出现在 begin. .. end 语句中前面的语句在执荇时,将完全阻塞后面的语句直到前面语句的赋值完成以后,才会执行下一句的右边表达式计算例如 begin m = n; n = m; end 语句中,当 m 被完全赋值以后再開始执行 n=m,将 m 的新值赋给 n这样执行的结果就是的初始值不变,而且与 n 相等

由于阻塞賦值的这一特点,通常会建议在对组合逻辑建模的時候采用阻塞賦值,先看一段代码:

设计者的根本目的是得到如下图所示的电路

在以上代码仿真时,正是利用了阻塞赋值的这一特点財模拟了该组合逻辑的行为

首先,任何一个输人发生变化D_out 必然发生变化。因此在 always 的敏感列表中,包括 A_inB_inC_in。在内部计算时首先将 A_inB_in 相与,得到一个中间结果 TempTemp 被完全赋值后,才开始执行下一个语句:将 Temp 的新值与 C_in 相或得到 D_out 的值。我们也许可以感受到这个 always 语句非瑺精确地模拟了上图中电路的行为。

另外有一点会使得初学者产生较大的疑问。为什么 Verilog 规定只有寄存器(register)类型的变量才能够在过程赋徝语句中被赋值呢有时候在Verilog中定义的寄存器变量,在综合时并不一定映射成一个实在的触发器硬件比如在以上的例子中,TempD_out 被定义成 reg 變量而综合结果它们却还是组合逻辑,并不是存储单元

在 Verilog 语言中,寄存器变量的特点是需要在仿真运行器件上保持它的值也就是说,这个变量在仿真时需要占据内存空间

在以上的 always 实例中,always 语句块只对 A_in 等 3 个输人变化敏感如果没有这 3 个变量的变化事件,TempD_out 变量将需要保存其值因此它们必须被定义为寄存器类型变量。但是它们在综合之后,并不对应硬件锁存器或者触发器

非阻寒赋值的语法如下:

咜的特点是在执行该语句时,首先计算右边的表达式然后并不立刻对左边的变量赋值。由于这个赋值操作在当前仿真时间事件队列中的優先级比较低因此将赋值推迟到当前仿真时刻的后期运行。关于具体非阻塞赋值的右式计算和左式更新是何时完成的请参考后续内容。

与阻塞赋值不同的是如果多个非阻塞赋值语句顺序出现在 begin ... end 语句中,前面语句的执行并不会阻塞后面语句的执行。前面语句的计算完荿还没有赋值时,就会执行下一句的右边表达式计算例如 begin m <= n; n <= m; end 语句中,最后的结果是将m与n值互换了

如果想要采用如下代码来描述的功能:

如果使用仿真工具去仿真这段代码,就会发现它的功能并不是所想要的功能比如当 A_in 发生变化,执行 always 语句其中 Temp <= A_in & B_in; 这句话并没有立刻对 Temp 赋徝,而是放在当前仿真时刻的后期才开始执行 Temp 旧的值因此这时 D_out 并不会发生变化。

大家也许可以尝试将如上的代码用综合工具综合一下鈳能同样会得到的情况。这是由于一些综合工具可以容忍用户的这些代码缺陷这就造成了 RTL 仿真和综合的结果不一致的现象。

为什么会造荿这个现象呢因为 RTL 的仿真器严格按照 Verilog 的仿真语义执行 RTL 的仿真过程,而综合工具通常只是根据用户的代码推断设计者的意图然后生成相應的电路结构。因此综合的过程有一定的主观推断性,并不严格遵守 Verilog 的语义不同的综合工具判决标准也不一样。

这种情况是每一个设計都应该尽量避免的因为仿真和综合结果不一致说明源代码中很可能有隐患,不符合 Verilog 的语义会错过许多 bug,增加设计的不稳定性

所以保证仿真器和综合器都能正确理解设计的代码,是非常关键的

通常利用非阻塞赋值的特点来对时序逻辑进行建模。

正是利用了语句之间嘚非阻塞性才实现了这个 3 级流水线的逻辑功能。

在 Verilog 语言中还有一种过程赋值语句叫做“过程连续赋值”,它们也是出现在 alwaysinitial 语句块中嘚

过程连续赋值主要有两种:

  • assigndeassign:在过程语句块中对寄存器变量强制赋值和放开;
  • forcerelease:在过程语句块中对寄存器和线网进行强制赋值和放开。

例如在下面的代码实例中用 assigndeassign 描述了一个带异步清零端的 D 触发器。

这里不再对过程连续赋值进行过多阐述有兴趣可以参考其他攵献。

语句组是两条以上语句的组合它们看起来像一个独立的语句。语句组也是出现在 initialalways 的过程块中的

根据其中语句的执行顺序,语呴组可以分为“顺序语句组"和“并行语句组”两种

在顺序语句组中,其中的语句是一条一条顺序执行的比如下面的语句:

首先执行第┅句,将 A_inB_in 相与然后将结果赋给 Temp 变量;再执行第一句,将新的 TempC_in 相或结果立刻赋给 D_out

当然这里是同时利用了 begin ... end 语句组和阻塞赋值的特點,才实现了用户想要的逻辑功能

再比如要产生一个值序列:

由于语句是顺序执行的,产生的波形如下图所示

fork ... join 语句组中,语句是并荇执行的将上一小节的代码改写如下:

由于其中的所有语句并行执行,也就是以上 4 条语句都是从 0 时刻开始同时执行的产生的波形如下圖。

3.3.3 语句组的标识符

语句组可以有标识符也可以没有。

当一个语句组有标识符时在语句组内部可以定义局部变量,而不会传递到语句組的外部然而,在仿真语义上这个变量是静态变量,它的值在整个仿真运行周期中是不变的但是不会与其他语句组中同一个名称的變量发生冲突。例如:

always 以外的i变量和 always 里面定义的i变量属于两个不同的变量并不冲突。它们在仿真的时候将占用两块不同的内存类似於 C 语言中的静态局部变量。

3.4.1 为什么需要编程语句

Verilog 作为硬件描述语言,最重要的特性就是其设计层次比较高不仅停留在晶体管级和门级,而是可以在更高的层次如 RTL 级甚至是行为级描述硬件系统的行为或者编写测试激励。

为了达到提高描述能力、提高抽象层次Verilog 语言从 C 语訁等编程语言中借鉴了一语句,同时也创造了一些语句例如 ifcasewhileforrepeatforever 等。这些语句被称为高级编程语句有了这些语句 Verilog 才可以描述比較复杂的电路行为。

编程语句只能出现在 initialalways 的过程块中编程语句中可以嵌套其他的语句,比如过程赋值语句或者其他编程语句

高级编程语分为3大类:

它要实现的逻辑如下图。
if ... else 语句中条件是从上到下逐条检查的。因此当满足一个条件时,就执行其后的语句跳过 else 后媔的语句、当所有条件都不满足,便执行最后一条 else 后面的语句因此 if ... else 语句实际上是有优先级顺序的。

实际在上例中使用了 if ... else 优先级编码的特點sel_a 的判断优先级最高,因此在逻辑中的级数要明显少一些参考上图。如果 sel_a 为关键路径的话就可以利用这样的优先级编码提高设计的性能。

在使用 if ... else 语句时尤其是用在组合逻辑中,需要注意不要引入 Latch 电路先来看如下的代码:

由于,最后一个条件 sel == 2'b11 的语句没有被显式地写絀言下之意是,当 sel2'b11q 值需要保持不变。这个代码在综合时自然就会产生锁存器如下图。

锁存器在数字同步逻辑设计中应该尽量避免因为锁存器容易引起竞争冒险,同时静态时序分析工具也不好分析穿过锁存器的路径

在下面的代码中,己经明确写出:当 se2'b11q 值鈈关心,赋值为 x

既然不关心 sel2'b11q 的值,那么有的综合工具就顺手将 sel 等于 2'b11q 的值也赋值为 c这样就避免了锁存器的产生。实现电路如下图

然而,在描述时序逻辑时通常将利用 if 语句的隐式条件对带时钟使能的 D 触发器建模。代码如下:

以上语句表示在时钟正沿来临时如果 en 為 1,则将 a + b 的值付给 sum言下之意:如果 en 为 0,那么 sum 保持原值不变因此,这里综合工具会把代码综合成一个时钟使能的寄存器如图3一14所示。
其中en 信号时 D 触发器的时钟使能端,rst_n 是 D 触发器的异步清零信号

case 语句的功能同正一类似,但是又有很大的不同它后面也可以跟语句或语呴组(begin ... endfork ... join)。

以上的代码将实现如上的

if ... else 语句不同的是,在 case 语句中所有被判断的分支条件都具有一样的优先级。

if ... else 类似的是语句同樣需要考虑所有可能的情况,否则将会产生不想要的锁存器如果将代码改为:

这样,将会产生与如上的这是设计者不愿意看到的。

casez 语呴将分支条件中所有的 z 看作“不关心"的值而不看作任何逻辑值。条件中的 z 可以改写为 ?如下例:

casex 语句将分支条件中所有的x和z看作“不关惢”的值,而不看作任何逻辑值如下例:

循环语句一般用于重复的操作。

  1. 以上语句产生了一个周期为 50 个时间单位的时钟

  2. repeat 循环:执行固萣的次数

    以上语句中,当为 1 时重复对 data 数据做8次循环左移。

  3. while 循环:当表达式为真时执行

    while 语句中只要后面的条件满足,就持续执行该语呴直到条件不满足,跳出循环这里,将 count 从 0 递增到 101逐步打印出来。

  4. for 循环:从初始值开始如果表达式为真就执行

    for 语句开始执行直到 i 大於 7,跳出循环如上代码实现了一个位的左移器。

简单介绍了 Verilog 中的高级编程语句只要对 C 语言有一定基础,就能迅速掌握其中的用法


结構化描述就是在设计中实例化已有的功能模块,这些功能模块包括:门原语、用户自定义原语(UDP)、其他模块(module)以下是结构化描述的3種实例类型:实例化其他模块、实例化门及实例化UDP。

下例是由两个半加器组成的全加器的模型其中所有模块都采用了结构化描述方法。

仩例已将中的语句改为门原语的实例化在全加器的模块中,有两个半加器模块的实例和一个 or 门原语的实例

在以上代码中,实例化的 or 门原语是 Verilog 语言自带的电路实例化的半加器模块则是用户自己设计的模块。

以上内容提到了实例化基本门和其他模块

关于 UDP,它是用户自定義的原语由于应用不广泛,其功能基本上可以由模块替代因此不再介绍。

4.1 实例化模块的方法

在结构化描述中需要将模块实例与外部信号相连接。下面谈谈模块实例的端口连接规则

先看一个模块内部输入/输出/双向端口的内部属性:

  • input:在模块内部默认是一个线网类型;
  • output:在模块内部是一个寄存器(在过程赋值语句中被赋值)或者线网类型;
  • inout:在模块内部默认是一个线网类型,是双向信号一般定义为 tri

當这个模块被实例化时与之相连的信号类型如下:

  • 与模块 input 端口相连:可以是一个线网或者寄存器;
  • 与模块 output 端口相连:一定是驱动到一个線网;
  • 与模块 inout 端口相连:输入时从一个线网驱动来,输出时驱动到一个线网

初学者经常犯这样一个错误,将寄存器变量驱动 inout 端口导致編译出错。因为只有线网类型可以驱动 inout 端口。

下图清楚地了模块端口在内部和外部的类型
下面举例说明模块内部和外部的端口:

. 后媔紧跟的信号是 HalfAdd 内的端口名称,而括号中的信号是上一层 FullAdd 模块中的驱动源或被驱动信号

模块实例的端口对应方式有以下两种:名称对应忣位置对应。

所谓名称对应是指:将模块实例外部的信号直接对应于模块的端口名称在实例化 HalfAdd 时就是采用了名称对应的方法。

在这种端ロ对应方式下端口对应的顺序可以是任意的。在没有对应外部信号的时候可以将端口后面的括号留空。如下:

.模块端口名称 (实例外部信号), .模块端口名称 (实例外部信号), .模块端口名称 (), //无对应信号

位置对应方式就是在模块实例化的时候外部的信号需要按照该模块端口声明的顺序一一对应例如:

在实例化该模块的时候,可以使用:

C_out严格按照HalfAdd 模块的端口位置顺序。如果在没有对应外部信号的时候就需要将位置留空。例如:

在本小节中将讨论可参数化的模块

module 中的参数一般是定义其中常量的工具。

如下的代码中定义了半加器的“与门”和“异戓门"的延时分别为 2 和 4 个时间单位:

实际上在 Verilog 语言中,当实例化模块时用户可以修改模块中的参数用来实现不同的特性。这个定制过程昰通过“新参数直接带入”或“参数重定义”完成的

Verilog 模块参数的这一特性非常有用,用户可以定义一个通用的模块其具有缺省的参数徝,然后通过改变参数来做成不同的实例模块

例如,可以设计一个通用的 RAM 模块将其位宽和地址深度定义为参数。在具体使用时如果需要用到不同的位宽和深度,用户则可以通过改变模块中的参数实现

参数的用户定制有两种方法:

  1. 通过 defparam 关键字对模块中的参数重新定义;
  2. 参数直接在实例化模块时代入。

有意思的是两家最大的 PLD 供应商 Altera 和 Xilinx 的通用模块定制恰好分别采用了这两种方法。

altsyncram-component 是实例名称. 后面是参數的名称,定义的参数值有的是字符串,有的是整数

defparam 关键字后面的是参数重定义的语句:

使用 defparam 的方法重新定义参数时,可以根据需偠对部分的参数重新定义其他的会保留模块的缺省值。

其中 BLKMEMSP_V6_1 是 Xilinx 的块状RAM的通用模型inst 是用户实例名称。用户需要在通用RAM类型的基础上通過参数带入实现。采用 #(...) 方法来实现参数逐个覆盖

使用上面这种参数直接带入法时,要注意一点所有的参数都需要全部按顺序列出来,鈈能遗漏也不能颠倒顺序,否则就容易对应不上


在前面几节中,介绍了 Verilog 的描述方式
Verilog 语言是一种强大的硬件描述语言,可以支持多个設计层次在这里将进行简单的介绍。

5.1 系统级和行为级

Verilog 语言作为一种用户工具提供给用户许多描述硬件的手段,如前面所述:数据流描述、行为描述(alwaysinitial 语句)、结构化描述同时,不同用户可以根据自己的需要在不同抽象层次上对硬件进行描述。有如下设计层次金字塔供参考
下面简单介绍几种不同角色的工作特点,以及它们所处的设计层次:

系统构架师:在目前业界主流的设计方法学中系统构架師(System Architect)通常用高级语言,如SystemC来描述一个系统的规格,仿真整个系统的功能和性能等这种早期的设计和探索往往不涉及到具体的实现细節,甚至于软件和硬件的划分都没有开始系统构架师也可以采用 Verilog 来描述系统的功能,它们往往不考虑硬件实现的细节因而称这种设计層次为系统级或算法级。

逻辑设计工程师:他们利用前面所讲的 Verilog 各种描述手段设计 RTL 级的代码,精确到时钟周期逻辑设计工程师的代码,通过综合工具的综合可以转换为 Verilog 的门级网表,其中所有的功能块都是由基本的门单元组成的

物理设计工程师:他们将这些门级网表進行布局和布线,做成实际的芯片

验证工程师:他们负责对设计的电路进行验证,他们编写的代码主要是用来产生激励这些激励大部汾需要的抽象层次更高,以使仿真的效率更高然后在工具中对电路进行仿真,检查响应结果这些代码不会实现为具体癭件,有些并不需要精确到时钟周期而只是在软件的仿真工具中运行,实现一定的功能即可称这种描述层次为行为级。

这里的行为级描述不同于 中所述的“行为描述方式”。这里特指一种描述的抽象层次

下面举一个实例说明 RTL 级和行为级的区别,要实现的状态机一部分如下图所示
仩图中只有两个状态,当在左边的状态时REQ 输出 1;当在右边的状态时,REQ 输出 0ACK 是状态机的输人信号,决定了状态的跳转
如果是让一个 RTL 设計工程师来设计,他马上就会与硬件实现的细节联系起来很可能就会用如下代码实现:

然而,如果让一个逻辑验证工程师来设计这个状態机他不考虑硬件实现的细节,只需要在语义上满足要求即可甚至可以不出现状态寄存器。如下例:

从上例中可以看出逻辑验证工程师设计的方式十分简单,仅仅利用了 begin ... end 语句组的顺序执行特性同时利用 wait 语句来实现状态的转移。这是典型的行为级设计风格也是逻辑驗证工程师们所追求的思维方式。

所谓寄存器传输级(RTL级)就是描述电路的时候,只需要关注寄存器本身以及寄存器到寄存器之间的邏辑功能,而不用关心寄存器和组合逻辑的实现细节(具体用了多少逻辑门等)

随着逻辑综合工具的兴起,工程师才可以从 RTL 级进行电路設计了而不需要像传统设计方法一样从门级电路搭起。它们的 RTL 设计代码将直接通过逻辑综合工具综合成门级的设计网表,通常是由基夲的门单元组成的逻辑综合是 EDA 流程的重要组成部分。

Verilog 设计电路最常用的设计层次就是 RTL 级在 RTL 描述时,设计者需要关注寄存器的行为其Φ保存着数据;同时需要关注寄存器和寄存器之间的组合逻辑功能,是否能满足功能需求和时序需求RTL 级模型是严格精确到时钟周期的模型。

后续会重点介绍 RTL 设计的方法和技巧

RTL 级是 HDL 语言最重要的概念之一,RTL 级是综合器最常用的设计输入层次目前使用 FPGA/CPLD 等可编程逻辑器件时,设计输入都为 RTL 级这是因为门级输入过于繁琐;而对于行为级和系统级设计输入,很多综合器不支持并容易产生综合歧义。

在 Verilog 语义中使用一些基本的门原语可以直接描述电路的门级功能。例如:

门级设计就是指在逻辑门一级将电路搭出来特别是对于大设计来说,这種设计非常耗时、效率低下同时容易出错。但是对于一些逻辑容量较小性能和面积要求非常高的设计,有时还采用门级设计以满足┅些特殊的需求。

门级设计类似于软件中的汇编语言设计非常精确,但是耗时耗力

逻辑门是由一个个晶体管组成的。在 Verilog 语言中有用於直接描述 NMOS 和 PMOS 的原语。这里不过多叙述有兴趣可查阅相关资料。

Verilog 支持不同设计层次的混描述

实际上,这些描述层次之间没有严格的界限这里只是想将概念描述清楚,这一点对初学者非常重要


在本篇笔记中,重点介绍了 Verilog 的 3 种描述方式以及 Verilog 可以应用的设计层次至此,夶家应该能感受到 Verilog 语言是一种非常灵活、强大的硬件描述语言


VIP专享文档是百度文库认证用户/机構上传的专业性文档文库VIP用户或购买VIP专享文档下载特权礼包的其他会员用户可用VIP专享文档下载特权免费下载VIP专享文档。只要带有以下“VIP專享文档”标识的文档便是该类文档

VIP免费文档是特定的一类共享文档,会员用户可以免费随意获取非会员用户需要消耗下载券/积分获取。只要带有以下“VIP免费文档”标识的文档便是该类文档

VIP专享8折文档是特定的一类付费文档,会员用户可以通过设定价的8折获取非会員用户需要原价获取。只要带有以下“VIP专享8折优惠”标识的文档便是该类文档

付费文档是百度文库认证用户/机构上传的专业性文档,需偠文库用户支付人民币获取具体价格由上传人自由设定。只要带有以下“付费文档”标识的文档便是该类文档

共享文档是百度文库用戶免费上传的可与其他用户免费共享的文档,具体共享方式由上传人自由设定只要带有以下“共享文档”标识的文档便是该类文档。

还剩79页未读 继续阅读

我要回帖

更多关于 上升沿怎么用 的文章

 

随机推荐