怎样才能使用ChipScope 加入被优化掉的信号

随着 FPGA 设计变得越来越复杂,设计者也在不断探索缩短设计和调试时间的方法。功能强大而又简便易用的 ChipScope& Pro 工具解决方案有助于将调试和验证所需的时间缩至最短。
此次为期2天的课程不仅向您介绍了内核和工具,阐述了如何有效地利用触发器,而且还向您介绍了调试逻辑和高速设计以便缩短整个设计开发时间的方法。此次培训提供动手实验,它将向您展示 ChipScope Pro 工具如何解决高级验证和调试挑战。
2012年10月
希望将验证与调试时间缩至最短的系统和逻辑设计者.
基本语言知识
完成了课程的学习或具有 VHDL 方面的同等知识
完成了课程的学习或具有 Verilog 方面的同等知识
第1天:基本 FPGA 技巧
第1天:中级 FPGA 技巧
强烈建议先观看
Xilinx ISE& Design Suite: Logic / System Edition 14.2
ChipScope Pro 工具 14.2
Vivado& 系统版本 2012.2(可选)
架构:N/A*
演示板: Kintex&-7 FPGA KC705 开发板*
* 本课程未重点介绍任何特殊架构。如需详细说明或其它定制,敬请联系当地授权培训机构。
获得的技能
完成这次全面的培训之后,您就会知道如何:
辨别各个 ChipScope Pro 工具内核并解释其用途
有效使用 ChipScope Pro Analyzer 和 Vivado 分析工具
利用 CORE Generator&、内核插入器和 PlanAhead& /Vivado 工具流程实现 ChipScope Pro 工具
在您的设计中选择几个有效测试点
使用 ChipScope Pro 工具内核时,优化设计和内核性能
利用各种技巧来收集数据,包括
文件存储器
构建定制触发器
ChipScope Pro 工具的工作原理
插入内核 - 插入器流程:内核插入器、PlanAhead 和 Vivado 工具
实验 1 & 2:利用 PlanAhead 设计环境或 Vivado Design Suite 中的插入器工具
内核例示 - CORE Generator 工具流程
实验 3:利用 PlanAhead 设计环境中的内核生成器(CORE Generator)工具
触发和存储
数据可视化 - ChipScope Pro 分析器工具
实验4:分析器工具内的触发和可视化
要点和技巧
实验5:要点和技巧
视频演示 - 用于隔离的区域组
实验6:ChipScope Pro 工具的 FPGA Editor 支持
编写脚本(可选)*
远程访问(可选)*
实验7:远程访问(可选)*
* 请跟您的授权培训机构核实一下,以便确定该内容是否包含在为您量身定制的课程内。
实验 1 & 2: 利用 PlanAhead 设计环境或 Vivado Design Suite 中的插入器工具 - 将 ICON 和 ILA 内核插入现有的网表,并解决常见问题。
实验3:利用 PlanAhead 设计环境中的内核生成器(CORE Generator)工具 - 利用提供的设计创建和例示 VIO 内核,并利用 ChipScope Pro 分析器工具观察其运行情况。
实验4:分析器工具内的触发和可视化 - 利用 ChipScope Pro 分析器工具配置触发器和查看采集的数据。
实验 5: 要点和技巧 - 在多个采样窗口内进行计时;在多个时域内进行采样。
实验6:ChipScope Pro 工具的 FPGA Editor 支持 - 无需重新实现设计即可修改 ILA 采样的信号。
实验7:远程访问 - 利用 ChipScope Pro 分析器工具来配置 FPGA,设置触发和远程查看采样数据。
这是我最喜欢的课程。
了解课程安排以及学费和注册方面的信息,敬请联系我们的授权培训机构:Xilinx基础知识(2)
主要内容来源于:/article/fec4bce8d8bd9.html
Xilinx ISE开发工具中的ChipScope工具就相当于AlteraQuatusII中的SignalTap II,能够捕捉FPGA内部的信号,方便了调试过程。随着FPGA设计复杂程度越来越高,芯片内部逻辑分析功能显得越来越重要。硬件层次上的逻辑分析仪价格十分昂贵,而且操作比较复杂。目前,FPGA芯片的两大供应商都为自己的FPGA芯片提供了软件层面上的逻辑分析仪,可以帮助我们在线分析芯片内部逻辑。
我在调试过程中常常遇到的一个问题就是,xilinx工具在逻辑综合的过程中,将自己RTL代码中的很多变量都优化掉了,使得调试的抓信号的过程很纠结。现在我就跟大家分享一下我的方法(ISE版本为14.3)。
第一种方法:更改优化选项设置。
在ChipScope中添加一些引脚的信号,但列表中并没有显示,原因是综合的地方没设置好,应该将XST的属性设置成如下:keep hierarchy处为YES。
第二种方法:在RTL代码中进行一些声明。
对于wire型号,对于ISE12.3以后的版本,XST综合,可以使用(* KEEP=&TRUE&*) wire [15:0] AD_这样的声明,就可以在查找信号的信号找到wire类型的AD_reg信号进行观察。
使用方法示例如下图:
第三种方法:做一些不会被优化掉的冗余逻辑使想查看的信号不被优化掉。
如果某些信号使用了上面的两种方法还是不行的话,可是常常加一些冗余逻辑。加需要观察的信号打个节拍,然后接到引脚上,这时的信号是不会被优化掉的。在FPGA设计中常常都有一些空闲的引脚或者调试使用的引脚,将冗余逻辑的输出接到这些引脚上即可。
我的方法:将需要观测的信号引到端口,作为输出信号,这样可以避免观测的信号被优化。使用方法如下图
来自:时间的诗
参考知识库
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:174823次
积分:2943
积分:2943
排名:第9678名
原创:113篇
转载:27篇
评论:12条
(7)(5)(2)(55)(43)(30)捷配欢迎您!
微信扫一扫关注我们
当前位置:&>>&&>>&&>>&使用ChipScope Pro Analyzer模块
  ChipScope Pro Analyzer模块为系统级逻辑分析工具,可实时地创建和修改触发条件。具有标准的逻辑类似接口,可作为一个独立的模块单独执行,也可以在ISE 10.x工程管理器中运行。&  选择【开始】→【程序】→【ChipScope Pro】→【Analyzer】命令,启动分析仪工具。&  如果在ISE 10.x的工程管理器中运行,需要有一个已生成的ILA Core。打开设计文件,在【Processes for Source∶...】窗口中选择【Analyze Design Using Chipscope】选项,如图1所示。
  图1 【Analyze Design Using Chipscope】选项&  【ChipScope Pro Analyzer】用户界面由4个窗口和菜单栏构成,如图2所示,分别为工程管理窗口、信号浏览器窗口、主窗口和信息显示窗口。
&   图2 ChipScope Pro Analyzer用户界面
  (1)工程管理窗口
  工程管理窗口在正确初始化边界扫描链之后,列出扫描链上所有能识别的器件。在配置下载完成后该窗口会自动更新,显示ChipScope Pro Core的数目,并为每个Core创建一个文件夹。其中包含Trigger Setup、Waveform、Listing、Bus 等项目,分别用于触发条件设置和观察信号波形。
  (2)信号浏览器窗口&   在工程管理窗口中选中某一个Core后,信号浏览器窗口显示其所有信号。在该窗口中可以增加或删除视图中的信号,并重新命名信号或将其组合为等。&   ■命名信号和。在双击或右击后选择【Rename】命令,可以重新命名数据口、触发口内的信号及总线名称。&   ■从窗口中添加/移去信号。如果需要从波形分析窗口和列表窗口中移去所选择的信号,则选择【Clear All】→【Waveform】或【Clear All】→【 Listing】命令。同样,利用【Add All to View)命令可以在窗口中添加信号和总线。&   ■ 合并和添加信号到总线。对于ILA Core和IBA Core,只有数据信号可以合并成总线;对于VIO Core(虚拟输入/输出),可以将各种类型的信号分组。右击相应的信号(选择的信号为LSB),选择【Add to Bus】→【New Bus】命令,将总线信号重新排序。利用【Reverse Bus Order】命令可以将LSB到MSB排列的总线顺序变成MSB到LSB排列。&   (3)主窗口&   主窗口用于显示【Trigger Setup】、【 Waveform】、【Listing 】和【Bus Plot】等窗口信息。&   (4)信息显示窗口&   信息显示窗口用于显示Analyzer工作和执行的状态信息。&   如果ChipScope Pro的ILA Core己经生成并下载到目标器件中,则单击【 /SearchJTAG Chain】按钮,扫描JTAG链上的所有器并搜索ILA Core,弹出如图3所示的界面。
  图3 在ChipScope Pro Analyzer中打开ILA Core
  ChipScope Pro Analyzer的操作如下。
  ■ 选择【JTAG Chain】菜单项,可执行有关下载的命令,包括JTAG链扫描(JTAG ChainSetup)、并行电缆设置(Xilinx Parallel Cable)、MultiLINX和USB电缆设置,以及安捷伦E5904B电缆设置( E5904B Cable)、关闭电缆(Close Cable)、获取电缆信息(Get Cable Information)和打开自动内核状态查询(Auto Core Status Poll)等。
  ■配置文件,在菜单中选择【Device】【Configure 】命令。选择需要配置的器件并打开位流文件,可配置器件,该功能与iMPACT工具相同。配置完成后,将自动检测所配置的器件内是否包含ILA Core,并更新工程管理器窗口内的文件夹显示Core的数目。&   ■设置触发参数,在工程管理窗口中选择需要修改参数的器件文件夹。选择【TriggerSetup】选项。如果没有显示该窗口,可以右击相应的器件,选择【Open:frigger Setup】命令,或在菜单中选择【Windows】→【New Unit Windows】命令。选择【Trigger Setup】选项后,打开触发条件设置窗口,其中包括【 Capture】(捕获设置)、【Match】(匹配函数)、【Trig】(触发条件)3个窗中。&   在【Capture】窗格中可以设置视窗的数目和视窗中触发事件的位置,这些视窗通常包含由一个触发事件产生的一串连续采样点。捕获设置栏中的【Type】列表框定义了所用视窗的类型,如果选择【Windows】类型,那么视窗中的采样数必须为2的若干次方,触发可以发生在视窗中的任何位置;选择【N Samples】类型,缓冲器buffer中将包括多个视窗。每个视窗包含Ⅳ个采样点,每个触发总是在第1个采样窗口;【 windows】列表框用来定义窗口的数目,数值范围从1到捕获缓冲区的深度之间;【Depth】列表框用于每个捕获窗口的深度,可供选择的数值由窗口数目决定;触发的位置由【Position]文本框设置,有效取值范围从1到捕获缓冲区深度减1之间;每次触发后的采样值由【Samples Per Trigger】文本框决定,数值范围从1到捕获缓冲区的深度;时间标记【 Timestamp 】仅用于ILA ATC Core被激活的场合。&   在【Match】窗格中设置触发条件的匹配函数,多个函数的组合构成ChipScope Pro Core的整个触发条件。【 Match Unit 】用于指定该函数用于哪个触发的匹配条件;【Function】列表框用于选择比较的类型,其中只列出了相应触发条件判断单元所支持的比较类型。由触发匹配函数的类型决定,如大于及小于等;【Value 】用于设置进行比较的具体数值,这些数值可以是十六进制、八进制、二进制、有符号或无符号数;【Radix】用来决定该数值是二进制数或是十六进制数;【Counter 】规定触发条件函数所设置的事件发生多少次之后才认为满足触发函数条件,如果选择&at least n times&,那么事件连续或不连续发生形次后满足触发函数条件。如果选择&for n cycles&,那么事件只有连续发生刀次才算满足触发函数条件。&   在【Trig】窗格中可以把一个或多个触发条件函数组合起来,构成最终的触发条件。触发条件是一个或多个触发函数条件的复杂判决条件组合,ChipScope Pro Core根据触发条件判断是否捕捉数据。在Analyzer中可以定义多个触发条件,使用【Add】按钮可以加入新的触发条件。选中某个触发条件后单击【Del】按钮可以删除它。【Active】的激活与否决定了当前有效的触发条件。    【Trigger Condition Name】允许用户为触发条件命名,以便记忆。【TriggerCondition Equation】(触发条件等式)显示触发条件的构成,默认值为来自不同触发条件函数的逻辑与。如果希望改变设置,可以单击该区域,【Trigger condition】对话框,可以根据需要设置,设置的触发条件等式将重新显示在对话框的下方。对所有的设置或更改,不需要重新综合实现。在工程管理窗口中选择【Trigger Setup 】→【Save Trigger Setup】命令,可以把触发设置保存到后缀为.四的文件中,方便下次使用。选择【Trigger Setup 】→【Read tigger Setup】命令,可以从该文件中读入触发设置。&   ■运行捕获Running/Arming the Trigger。当设置触发条件后,在工程管理窗口中选择【Trigger Setup】→【Run】命令,Analyzer进入捕获(arm)状态。如果触发条件得到满足,Core捕获数据。直到设置的缓冲区填满为止,然后通过JTAG上传数据,并在【 Waveform】和【Listing】窗口中显示。如果需要强制进行数据捕获,可以选择【TriggerSetup】→【Trigger Immediate 】命令,该命令忽略触发条件并立即进行数据捕获。当缓冲器填满后,将退出捕获并将数据显示在【 Waveform 】和【 Listing】窗口中。    ■要停止捕获,选择【Trigger Setup】→【stop Acquisition】命令。如果在选择退出捕获之前已经有一次满足条件的捕获,在退出之后同样会在【Waveform】窗口中显示。退出后选择【Trigger Setup】→【Run】命令,将重新进入捕获状态。
  ■信号波形如图4所示。为了观察所捕获的信号波形,需要激活【Waveform 】窗口。如果该窗口没有激活,可以通过工程管理窗口选择相应器件的文件夹,右击【Unit o ILA】并选择【Open Waveform】命令,或在菜单中选择【Window】→【New Unit Window】命令。【Wareform】窗口显示采样缓冲区的数据,显示的方式和很多或类似。在该窗口中可以重新排序信号波形、光标测量及简单波形变换等。
  图4 信号波形  & &&来源:
技术资料出处:awey
该文章仅供学习参考使用,版权归作者所有。
因本网站内容较多,未能及时联系上的作者,请按本网站显示的方式与我们联系。
【】【】【】【】
上一篇:下一篇:
本文已有(0)篇评论
发表技术资料评论,请使用文明用语
字符数不能超过255
暂且没有评论!
12345678910
12345678910
12345678910
RS-485总线广泛应用于通信、工业自动化等领域,在实际应中,通常会遇到是否需要加上下拉电阻以及加多大的电阻合适的问题,下面我们将对这些问题进行详细的分析。
[][][][][][][][][][]
IC热门型号
IC现货型号
推荐电子百科欢迎交换,要求百度权重≥1,快照正常

我要回帖

 

随机推荐