t1的tlc5616芯片的verilog教程

AD公司DDS芯片AD9954的Verilog测试程序,最全面的AD公司DDS芯片AD9954的Verilog测试程序文章 - 电子工程世界网
AD公司DDS芯片AD9954的Verilog测试程序
在电子工程世界为您找到如下关于“AD公司DDS芯片AD9954的Verilog测试程序”的新闻
AD公司DDS芯片AD9954的Verilog测试程序资料下载
AD公司DDS芯片AD9954的Verilog测试程序...
AD公司DDS芯片AD9954的Verilog测试程序...
AD公司DDS芯片AD9954的Verilog测试程序相关帖子
AD公司DDS芯片AD9954的Verilog测试程序视频
你可能感兴趣的标签
热门资源推荐VerilogHDL复习题与答案_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
VerilogHDL复习题与答案
阅读已结束,下载文档到电脑
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,方便使用
还剩2页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢芯片设计:verilog断言(SVA)语法
作者:白栎旸 & &
断言assertion被放在verilog设计中,方便在仿真时查看异常情况。当异常出现时,断言会报警。一般在数字电路设计中都要加入断言,断言占整个设计的比例应不少于30%。以下是断言的语法:
1. SVA的插入位置:在一个.v文件中:
& & module ABC ();
& & endmodule
&注意:不要将SVA写在enmodule外面。
2. 断言编写的一般格式是:
& &【例】 断言名称1:assert
property(事件1) & &
& //没有分号
& & $display("........",$time);
& & $display("........",$time);
& 断言名称2:assert property(事件2)
& $display("........",$time);
& $display("........",$time);
&断言的目的是:断定“事件1”和“事件2”会发生,如果发生了,就记录为pass,如果没发生,就记录为fail。注意:上例中没有if,只有else,断言本身就充当if的作用。
&上例中,事件1和事件2可以用两种方式来写:
。。。。。。。。。;&
& & endsequence
& &(2) 属性块: property
& & 。。。。。。。。。;
& & endsequence
从定义来讲,sequence块用于定义一个事件(砖),而property块用于将事件组织起来,形成更复杂的一个过程(楼)。sequence块的内容不能为空,你写乱字符都行,但不能什么都没有。sequence也可以包含另一个sequence,
& & & sequence
& & s2(a,b);
endsequence &//s1和s2都是sequence块
sequence块和property块都有name,使用assert调用时都是:“assert property(name);”
在SVA中,sequence块一般用来定义组合逻辑断言,而property一般用来定义一个有时间观念的断言,它会常常调用sequence,一些时序操作如“|-&”只能用于property就是这个原因。
&注:以下介绍的SVA语法,既可以写在sequence中,也可以写在property中,语法是通用的。
3. 带参数的property、带参数的sequence
&property也可以带参数,参数可以是事件或信号,调用时写成:assert property
&被主sequence调用的从sequence也能带参数,例如从sequence名字叫s2,主sequence名字叫s1:
& & sequence s1;
& & endsequence
4. property内部可以定义局部变量,像正常的程序一样。
& & &property
& .....................
&endproperty
【注】在介绍语法之前,先强调写断言的一般格式:
一般,断言是基于时序逻辑的,单纯进行组合逻辑的断言很少见,因为太费内存(时序逻辑是每个时钟周期判断一次,而组合逻辑却是每个时钟周期内判断多次,内存吃不消)。
& & 因此,写断言的一般规则是: time +
event,要断定发生什么event,首先要指定发生event的时间,例如
每个时钟上升沿 + 发生某事
& & 某信号下降时 + 发生某事
5. 语法1:信号(或事件)间的“组合逻辑”关系:
&(1) 常见的有:&&, ||, !, ^
a和b哪个成立都行,但如果都成立,就认为是a成立:firstmatch(a||b),与“||”基本相同,不同点是当a和b都成立时,认为a成立。
&(3) a ? b:c ———— a事件成功后,触发b,a不成功则触发c
语法2:在“时序逻辑”中判断独立的一根信号的行为:
& @ (posedge clk) A事件; ————
当clk上升沿时,如果发生A事件,断言将报警。
&边沿触发内置函数:(假设存在一个信号a)
& &$rose( a );———— 信号上升
& &$fell( a );———— 信号下降
& &$stable( a );———— 信号值不变
语法3:在“时序逻辑”中判断多个事件/信号的行为关系:
&(1) intersect(a,b)———— 断定a和b两个事件同时产生,且同时结束。
&(2) a within b &
&———— 断定b事件发生的时间段里包含a事件发生的时间段。
&(3) a ##2 b & &
& ———— 断定a事件发生后2个单位时间内b事件一定会发生。
& & &a ##[1:3] b
& ———— 断定a事件发生后1~3个单位时间内b事件一定会发生。
& & &a ##[3:$] b
& ———— 断定a事件发生后3个周期时间后b事件一定会发生。
&(4) c throughout (a ##2 b) &
&———— 断定在a事件成立到b事件成立的过程中,c事件“一直”成立。
&(5) @ (posedge clk) a |-& b &
———— 断定clk上升沿后,a事件“开始发生”,同时,b事件发生。
&(6)&@ (posedge clk) a.end |-& b
————&断定clk上升沿后,a事件执行了一段时间“结束”后,同时,b事件发生。
|-& b" 在逻辑上是一个判断句式,即:
& &因此,一旦 a
发生,b 必须发生,断言才成功。如果a没发生,走else,同样成功。 &
&(7)&@ (posedge clk) a |=& b &
———— 断定clk上升沿后,a事件开始发生,下一个时钟沿后,b事件开始发生。 & &
&(8)&@ (posedge clk) a |=&##2b ————
断定clk上升沿后,a事件开始发生,下三个时钟沿后,b事件开始发生。
(posedge clk)&$past(a,2) == 1'b1 ————
断定a信号在2个时钟周期“以前”,其电平值是1。
&(10)&@ (posedge clk) a [*3] ————
断定“@ (posedge clk)
a”在连续3个时钟周期内都成立。
&&@ (posedge clk) a [*1:3] ————
断定“@ (posedge clk) a”在连续1~3个时钟周期内都成立。
& &&@ (posedge clk) a [-&3] ————
断定“@ (posedge clk) a”在非连续的3个时钟周期内都成立。
&举一个复杂点的例子:
&property ABC;
& & @(posedge clk) ($rose(a),tmp
= b) |-& ##4 (c == (tmp*tmp+1)) ##3 d[*3];
&endproperty&
&上例的一个property说明:当clk上升沿时,断言开始。首先断定信号a由低变高,将此时的信号b的值赋给变量tmp,4个时钟周期后,断定信号c的值是4个周期前b^2+1,再过3个周期,断定信号d一定会起来,再过3个周期,信号d又起来一次。。。。。。。只有这些断定都成功,该句断言成功。otherwise,信号a从一开始就没起来,则断言也成功。
语法4:多时钟域联合断言:一句断言可以表示多个时钟域的信号关系,例如:
& @ (posedge clk1) a |-& ##1 @ (posedge clk2)
&当clk1上升沿时,事件a发生,紧接着如果过来第二个时钟clk2的上升沿,则b发生。“##1”在跨时钟时不表示一个时钟周期,只表示等待最近的一个跨时钟事件。所以此处不能写成##2或其他。但是可以写成:
&&@ (posedge clk1) a |=& @ (posedge clk2)
语法5:总线的断言函数
&总线就是好多根bit线,共同表示一个数。SVA提供了多bit状态一起判断的函数,即总线断言函数:
$onehot(BUS) & &
&————BUS中有且仅有1 bit是高,其他是低。
$onehot0(BUS) & & ————BUS中有不超过1
bit是高,也允许全0。
$isunknown(BUS) & ————BUS中存在高阻态或未知态。
countones(BUS)==n ————BUS中有且仅有n bits是高,其他是低。
10. 语法6:屏蔽不定态
当信号被断言时,如果信号是未复位的不定态,不管怎么断言,都会报告:“断言失败”,为了在不定态不报告问题,在断言时可以屏蔽。
@(posedge clk) (q == $past(d)),当未复位时报错,屏蔽方法是将该句改写为:
& & &@(posedge
clk) disable iff (!rst_n) (q == $past(d))
&//rst是低电平有效
10. 语法6:断言覆盖率检测:
name: cover property (func_name)
11. 在modelsim中开启断言编译和显示功能:
(1)【编译verilog代码时按照system verilog进行编译】 &vlog -sv
(2)【仿真命令加一个-assertdebug】 & vsim -assertdebug
-novopt testbench
(3)【如果想看断言成功与否的分析,使用打开断言窗口的命令】 view assertions
12. 在VCS中加入断言编译和显示功能:
& 在fsdb文件中加一句话:$fsdbDumpSVA
& 在VCS编译参数:system "vcs $VCS_SIMULATION"
中加入一些options:
& &-assert enable_diag\
& &-assert vpiSeqBeginTime\
& &-assert vpiSeqFail\
& &-assert report=路径\
& &-assert
finish_maxfail=100
***********************************************************************************************
【经验】以下是一些编写断言的经验:
断言的目的:传统的验证方法是通过加激励,观察输出。这种方法对案例的依赖严重,案例设计不好,问题不便于暴露。而断言是伴随RTL代码的,不依赖测试案例,而是相对“静态”。例如:我们要测试一个串行数据读写单元,数据线只有一根,先传四位地址,再传数据。
(1)案例验证法:写一个地址,再写一段数据,然后读取该地址,看输出的是不是刚才写的数据。
(2)断言法:不需要专门设计地址和数据,当发起写时,在地址传输的时间里将地址存储到一个变量里,在数据传输的时间里将数据存储到一个变量里,观察RAM中该地址是否存在该数据就可以了。
& 断言设计相当于在电脑上把RTL实现的功能再实现一遍。
断言中可以包含function和task。而且function经常用于断言,因为有的处理很复杂,而断言又是“一句式”的,无法分成好几句进行表达,所以需要function替断言分担工作。
3. 断言允许规定同时发生的事件,就是组合逻辑,你可以写成:a
&& b,也可以写成 a ##0 b,不能写 ##0.5,不支持小数。
断言是用电脑模仿RTL的运行过程,当RTL功能复杂时,你必须用到变量。断言中支持C语言的int和数组声明,但在赋值时“不能”写成:##4
Signal,其中var是断言中的变量,和RTL无关,Signal是RTL中的一个信号。本句是想在第4周期将Signal的值赋给var,以便在后面使用该值。但本句只有变量赋值,没有对RTL信号的任何断言,就会报错,解决方法是:##4
(“废话”,var = Signal),一定要有断言的话我们就写“废话”,例如:data == data
等。如果有多个变量要赋值也可以,##4 (废话,变量1赋值,变量2赋值...........)
5. 关于断言的表达风格:语法介绍的 “a |-&
b”,实际上是 “if a, then b”的逻辑,当a不发生,b也不会被判断,该断言自然成功。但当我们的逻辑是
& & & then
该如何用断言表达???? 或许可以写成:“a1 |-& a2
|-& b”,也可以,但常用的表达是:
&& a2 |-& b” 或者 “a1 ##3 a2 |-& b”
6. 关于断言的时序:时序逻辑的断言需要注意的一个问题:
&例如:假设当clk上升沿到来时,b&=a。将上述逻辑写成断言时,如果写成“@(posedge
clk) b==a”,看起来和
b&=a一样,但实际上是错的。因为当时钟上升时,b还没有得到a的值,a还需要一段保持时间。即,断言中的信号值实际上是时钟沿到来之前的值,而不是时钟沿到来后他们将要编程的值。所以,b&=a逻辑的断言应该是:“@
(posedge clk) (a==a,tmp=a) |=& (b==tmp);”
针对上述几点,举一个复杂的例子:
断言wr的功能是检查串行地址输入是否正确,串行地址输入线是&DataIn
。$time返回值以0.1ns为单位(因为我在testbench中的单位规定是`timescale 1ns/100ps,精度是100ps =
0.1ns),所以$time/10才是ns。
&/////////////////////////////////////////////////////////////////////////////
& & wr: assert
property(wr_p)
$display("succeed:",$time/10);
& $display("error: ",$time/10);
/////////////////////////////////////////////////////////////////////////////
//断言可以声明一个int数组arr[4],
//“@(posedge clk)
!vld_pulse_r[0] && !DataIn”是真实的预备条件
//“##4 (read==read, arr[0] =
DataIn)”只是为了在特定时间内赋值,有用的语句是“arr[0] = DataIn”,//“read==read”是废话,为了编译通过。
//arr赋值完毕后,进入function进行处理,判断实际地址addr跟junc处理过的数据是否相同。
//“addr ==
junc(arr[0],arr[1],arr[2],arr[3]);”就是junction调用。
& & property wr_p;
& int arr[4];
& @(posedge clk) !vld_pulse_r[0] &&
!DataIn &&
(read==read, arr[0] = DataIn)&
(read==read, arr[1] = DataIn)&
(read==read, arr[2] = DataIn)&
(read==read, arr[3] = DataIn) |=&
& & & addr ==
junc(arr[0],arr[1],arr[2],arr[3]);
& & endproperty
//////////////////////////////////////////////////////////////////////////
& & function [3:0]
& input a,b,c,d;
& reg [3:0] a1;
& reg [3:0] b1;
& reg [3:0] c1;
& reg [3:0] d1;
& a1 = {3'b0,a};
& b1 = {3'b0,b};
& c1 = {3'b0,c};
& d1 = {3'b0,d};
a1+(b1&&1)+(c1&&2)+(d1&&3);
& $display(junc);
& & endfunction
////////////////////////////////////////////////////////////////////////
如果想在SVA中使用类似for(){....}的功能,别忘了语法中介绍的[*3],这是在断言中实现for的唯一方式。
& & ##4 (废话, cnt = 0, arr[cnt] =
DataIn, cnt++) & //初始化一下,
& & ##1 (read==read, arr[cnt] =
DataIn, cnt++)[*3] &//循环3次
8. 每句断言都是一个小程序:如上例,在##4时间点上,(废话, cnt = 0, arr[cnt] = DataIn,
cnt++)就是一个小程序,信号断言必须是第一句,其他运算按照顺序进行。
断言的变量除了可用C语言中的int,float外,还可以是reg [n:0]等数字电路类型。
10. 注意:
像这种写法:
& & property ept_p;
& @(posedge rd_clk) & ((rd_num ==
0) |-& rd_ept)
&&& (rd_ept |-& (rd_num == 0));
& & endproperty
是错误的,写了|-&,就不能再用 && 等事件组合逻辑了。
解决方法是使用2个断言,没更好的方法。
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。verilog(1)_图文_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
verilog(1)
阅读已结束,下载文档到电脑
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,方便使用
还剩54页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢verilog|LOFTER(乐乎) - 让兴趣,更有趣
LOFTER for ipad —— 让兴趣,更有趣
下载移动端
关注最新消息
&nbsp&nbsp被喜欢
&nbsp&nbsp被喜欢
{list posts as post}
{if post.type==1 || post.type == 5}
{if !!post.title}${post.title|escape}{/if}
{if !!post.digest}${post.digest}{/if}
{if post.type==2}
{if post.type == 3}
{if !!post.image}
{if post.type == 4}
{if !!post.image}
{if !!photo.labels && photo.labels.length>0}
{var wrapwidth = photo.ow < 500?photo.ow:500}
{list photo.labels as labs}
{var lbtxtwidth = Math.floor(wrapwidth*(labs.ort==1?labs.x:(100-labs.x))/100)-62}
{if lbtxtwidth>12}
{if !!labs.icon}
{list photos as photo}
{if photo_index==0}{break}{/if}
品牌${make||'-'}
型号${model||'-'}
焦距${focalLength||'-'}
光圈${apertureValue||'-'}
快门速度${exposureTime||'-'}
ISO${isoSpeedRatings||'-'}
曝光补偿${exposureBiasValue||'-'}
镜头${lens||'-'}
{if data.msgRank == 1}{/if}
{if data.askSetting == 1}{/if}
{if defined('posts')&&posts.length>0}
{list posts as post}
{if post_index < 3}
{if post.type == 1 || post.type == 5}
{if !!post.title}${post.title|escape}{/if}
{if !!post.digest}${post.digest}{/if}
{if post.type == 2}
{if post.type == 3}
{if post.type == 4}
{if post.type == 6}
{if drlist.length>0}
更多相似达人:
{list drlist as dr}{if drlist.length === 3 && dr_index === 0}、{/if}{if drlist.length === 3 && dr_index === 1}、{/if}{if drlist.length === 2 && dr_index === 0}、{/if}{/list}
暂无相似达人,
{if defined('posts')&&posts.length>0}
{list posts as post}
{if post.type == 2}
{if post.type == 3}
{if post.type == 4}
{if post.type == 6}
this.p={ currentPage:1,pageNewMode:true,isgooglead3:false,ishotrecompost:false,visitorId:0, first:'',tag:'verilog',recommType:'total',recommenderRole:0,offset:20,type:0,isUserEditor:0,};

我要回帖

更多关于 verilog testbench 的文章

 

随机推荐