OPPOr11t分联通主副卡的优缺点吗

MATLAB进行PLL相位噪声拟合出现问题
UID1232693&帖子330&精华0&积分4416&资产4416 信元&发贴收入2080 信元&推广收入0 信元&附件收入792 信元&下载支出916 信元&阅读权限50&在线时间489 小时&注册时间&最后登录&
MATLAB进行PLL相位噪声拟合出现问题
(49.83 KB)
这个程序我相信做过PLL相位噪声拟合的大神应该都很熟悉
我把PLL每一个模块的相位噪声都在cadence里面仿真出来了并且把数据都导出来了并且生成了excel文件,如下图
(12.41 KB)
但是不知道怎么样将这些数据导入到MATLAB里面,MATLAB界面有一个导入数据,点击进入如下:
(75.36 KB)
请问导入数据是这样操作的吗?然后下一步该怎么办?
我试了很多次了,弄了很久了,最后还是搞不出来,最后总是这个结果:
(12.03 KB)
求各路大神指教!!!!
UID1264197&帖子138&精华0&积分2931&资产2931 信元&发贴收入800 信元&推广收入0 信元&附件收入582 信元&下载支出3961 信元&阅读权限50&在线时间540 小时&注册时间&最后登录&
matlab程序里有个文件是倒入这些数据的&&不需要手动倒入 只要将你的文件名称弄对就好了
UID1232693&帖子330&精华0&积分4416&资产4416 信元&发贴收入2080 信元&推广收入0 信元&附件收入792 信元&下载支出916 信元&阅读权限50&在线时间489 小时&注册时间&最后登录&
sc_filter_2014
& &您好,谢谢您的回复,您说的那个导入数据的文件是这个文件吧?
打开这个文件之后,如图
(28.67 KB)
需要对这个程序进行编辑吗?或者修改吗?
您说的:“不需要手动导入,只要将你的文件名称弄对就好了”&&这句话什么意思呢?还请大神指教
压缩包中所有的文件如下图:
(36.31 KB)
UID1264197&帖子138&精华0&积分2931&资产2931 信元&发贴收入800 信元&推广收入0 信元&附件收入582 信元&下载支出3961 信元&阅读权限50&在线时间540 小时&注册时间&最后登录&
& & 看下analyze.m这个程序,里边有导入数据的文件
UID608646&帖子407&精华0&积分273&资产273 信元&发贴收入2100 信元&推广收入0 信元&附件收入1464 信元&下载支出3452 信元&阅读权限20&在线时间34 小时&注册时间&最后登录&
dsfsadfsdadssad
UID1248005&帖子31&精华0&积分0&资产0 信元&发贴收入155 信元&推广收入0 信元&附件收入0 信元&下载支出372 信元&阅读权限10&在线时间22 小时&注册时间&最后登录&
Thank you!
UID1206453&帖子322&精华0&积分2747&资产2747 信元&发贴收入1700 信元&推广收入0 信元&附件收入752 信元&下载支出594 信元&阅读权限50&在线时间503 小时&注册时间&最后登录&
你好,请问怎么仿真出来PLL各个模块的相位噪声呢?我只仿真出来了DCO的,之前尝试仿真divider的报错了。另外我的锁相环是全数字的,环路滤波器是verilog写的,怎么仿真相位噪声呢?谢谢
UID743048&帖子14&精华1&积分2202&资产2202 信元&发贴收入130 信元&推广收入0 信元&附件收入1740 信元&下载支出3003 信元&阅读权限50&在线时间304 小时&注册时间&最后登录&
Divider的相位噪声要在PSS频率设为Divider的输出频率,分频比稍微有点大的话难以收敛,一般的话功耗别太低DIVIDER噪声影响不大。主要还是多仿真TDC的精度吧。最后用理论合成
UID1206453&帖子322&精华0&积分2747&资产2747 信元&发贴收入1700 信元&推广收入0 信元&附件收入752 信元&下载支出594 信元&阅读权限50&在线时间503 小时&注册时间&最后登录&
parkerzz1989
& & 哦,这样啊,我试试,谢啦!以后多多交流
UID881153&帖子42&精华0&积分5&资产5 信元&发贴收入255 信元&推广收入0 信元&附件收入0 信元&下载支出366 信元&阅读权限10&在线时间24 小时&注册时间&最后登录&
mark! 学习了!
[通过 QQ、MSN 分享给朋友]
欢迎访问 TI 热门产品应用指南发现抖动、相位噪声、锁定时间或杂散问题?请检查锁相环的环路滤波器带宽 - Analog 技术纷纭谈 - 博客文章 - 德州仪器在线技术支持社区
发现抖动、相位噪声、锁定时间或杂散问题?请检查锁相环的环路滤波器带宽
发现抖动、相位噪声、锁定时间或杂散问题?请检查锁相环的环路滤波器带宽
作为最重要的设计参数之一,选择环路带宽涉及到抖动、相位噪声、锁定时间或杂散之间的平衡。适合抖动的最优环路带宽BWJIT也是数据转换器时钟等许多时钟应用的最佳选择。如果BWJIT并非最佳选择,首先要做的仍是寻找最优环路带宽。
图1中,与压控振荡器(VCO)噪声交叉处的偏移,BWJIT(约为140kHz)通过减少曲线下方的面积来优化抖动。
图1:最优抖动带宽
尽管此带宽BWJIT对抖动而言是最优的,但对于相位噪声、锁定时间或杂散却并非如此。表1给出了环路带宽对这些性能指标的影响的大致参考。
最优值一般为BWJIT。在低集成限制更高的一些情况下,有时较窄的环路带宽实际上效果更好。
VCO锁定时间随着环路带宽的增加而提高,但有时会受到VCO校准时间(用于集成VCO)的限制,或因VCO输入电容等寄生电容而使带宽无法增加。
一般而言环路带宽越窄,杂散越好,但有时会由环路滤波器周围来自主板或芯片上的串扰所主导。
如果相位噪声低于最优抖动带宽,相位噪声会随着带宽的变宽而增大,直到变成仅为输入基准和PLL造成的噪声。
如果相位噪声偏移大于最优抖动带宽,相位噪声会随着环路带宽的变窄而增大,直到变成仅为独立的VCO噪声。
表1:环路带宽对关键参数的影响
为了说明表1,图2中的模拟显示了变化的环路带宽的影响。锁定时间与抖动标准化指标为图2中从最小值增加的百分比。杂散与相位噪声指标为图2中从最小值增加的分贝。
图 2:环路带宽对标准化性能的影响
如图1所预测,环路带宽为140kHz左右时,最优抖动确实为最佳。环路带宽超出此范围会有利于锁定时间和10kHz相位噪声,但是会降低杂散和1MHz偏移的相位噪声。
因此,选择环路带宽的一种较好的方法是先选择最优抖动带宽(BWJIT),然后增加带宽提高锁定时间或低频偏相位噪声,或者降低带宽提高高频偏相位噪声或杂散。
选择正确的环路带宽遇到问题?登录并在下方评论。
使用现在开始设计。
通过《》了解更多PLL设计。
原文链接:1.&&&&& 射频信号时间频率稳定性1.1.&&&&什么是相位噪声(Phase noise)相位噪声是指系统(如各种射频器件)在各种噪声的作用下引起的系统输出信号相位的随机变化。1.2.&&&&相位噪声的本质相位噪声体现信号相位的随机变化,量值是在某频率处dBc/Hz。dBc体现比值概念,那么这是功率比值还是相位比值?答案是功率比值,对应相位随机变化的分布幅度和概率。1.3.&&&&什么是抖动(Jitter)相位噪声和抖动是对同一种现象的两种不同的定量方式。相噪是在频域定标,抖动是在频域定标,两者可以相互转换:相位噪声L对应的线性功率P(f)=10^(L/10);频段(Δf=f2-f1)内,功率密度积分,对应相位变化ΔΦ=sqrt(2*∫ P(f)df),即剩余调相;对于频率为fc的信号,其时域抖动jitter = ΔΦ/(2*π*fc)。&由相噪结果计算得来的抖动(均方根值)数值单位是s,对应相应相噪分析的频段(频偏),多个频段的抖动数值可以通过均方根值合成一个数值,对应整个频段的抖动值。2.&&&&& 相噪测试方法2.1.&&&&频谱仪频谱分析法理解相位噪声(功率比值):测试频率f0的信号功率P0(dBm),在f0+Δf频率偏移处读取的功率密度值P1(dBm/Hz),对应相位噪声L(dBc/Hz)。2.2.&&&&信号源分析仪 – PLLPLL鉴相法理解相位噪声(相位变化的功率谱比值):信号Signal = Cos(wt+Φ(t))信号的初相Φ(t)在时域的抖动,数字化转换为频域功率谱(FFT),对应相位噪声L(dBc/Hz)。处于灵敏度的考虑,信号源分析仪通常有互相关的功能。简而言之,信号与分析仪内置两个独立的PLL系统,被测信号进入两个PLL后,产生两个带噪声的直流信号;通过相关运算,将PLL内置参考源的噪声归零,仅剩被测信号的相噪。2.3.&&&&信号源分析仪 – 数字解调将被测信号Signal = Cos(wt+Φ(t))量化为I/Q数据后,直接解调分析Φ(t)。将时域Φ(t)通过FFT转换为频域,即为信号的相位噪声。Φ(t)=angle(IQ)Phasenoise = FFT(Φ(t))本方法也可以通过两个解调通道,实现互相关,从而提高灵敏度。射频微波实验室(RF_Lab) 
 文章为作者独立观点,不代表大不六文章网立场
RF_Lab射频微波和电磁场相关知识以及仪器设备应用知识热门文章最新文章RF_Lab射频微波和电磁场相关知识以及仪器设备应用知识&&&&违法和不良信息举报电话:183-
举报邮箱:
Copyright(C)2016 大不六文章网
京公网安备78

我要回帖

更多关于 电信主副卡互打免费吗 的文章

 

随机推荐