这个锁存器为什么可以接电阻怎么接?

原标题:单片机I/O口的结构的详解

1.什么是源型 漏型什么是上拉电阻怎么接?下拉电阻怎么接什么是 线驱动输出 集电极开路输出,推挽式输出

我们先来说说集电极开路輸出的结构。集电极开路输出的结构如图1所示右边的那个三极管集电极什么都不接,所以叫做集电极开路(左边的三极管为反相之用使输入为“0”时,输出也为“0”)对于图1,当左端的输入为“0”时前面的三极管截止(即集电极c跟发射极e之间相当于断开),所以5v电源通过1k电阻怎么接加到右边的三极管上右边的三极管导通(即相当于一个开关闭合);当左端的输入为“1”时,前面的三极管导通而後面的三极管截止(相当于开关断开)。

我们将图1简化成图2的样子图2中的开关受软件控制,“1”时断开“0”时闭合。很明显可以看出当开关闭合时,输出直接接地所以输出电平为0。而当开关断开时则输出端悬空了,即高阻态这时电平状态未知,如果后面一个电阻怎么接负载(即使很轻的负载)到地那么输出端的电平就被这个负载拉到低电平了,所以这个电路是不能输出高电平的

再看图三。圖三中那个1k的电阻怎么接即是上拉电阻怎么接如果开关闭合,则有电流从1k电阻怎么接及开关上流过但由于开关闭和时电阻怎么接为0(方便我们的讨论,实际情况中开关电阻怎么接不为0另外对于三极管还存在饱和压降),所以在开关上的电压为0即输出电平为0。如果开關断开则由于开关电阻怎么接为无穷大(同上,不考虑实际中的漏电流)所以流过的电流为0,因此在1k电阻怎么接上的压降也为0所以輸出端的电压就是5v了,这样就能输出高电平了但是这个输出的内阻是比较大的(即1kω),如果接一个电阻怎么接为r的负载,通过分压计算,就可以算得最后的输出电压为5*r/(r+1000)伏,即5/(1+1000/r)伏所以,如果要达到一定的电压的话r就不能太小。如果r真的太小而导致输出电压不够的话,那我们只有通过减小那个1k的上拉电阻怎么接来增加驱动能力但是,上拉电阻怎么接又不能取得太小因为当开关闭合时,将产生电流甴于开关能流过的电流是有限的,因此限制了上拉电阻怎么接的取值另外还需要考虑到,当输出低电平时负载可能还会给提供一部分電流从开关流过,因此要综合这些电流考虑来选择合适的上拉电阻怎么接

如果我们将一个读数据用的输入端接在输出端,这样就是一个ioロ了(51的io口就是这样的结构其中p0口内部不带上拉,而其它三个口带内部上拉)当我们要使用输入功能时,只要将输出口设置为1即可這样就相当于那个开关断开,而对于p0口来说就是高阻态了。

对于漏极开路(od)输出跟集电极开路输出是十分类似的。将上面的三极管換成场效应管即可这样集电极就变成了漏极,oc就变成了od原理分析是一样的。

另一种输出结构是推挽输出推挽输出的结构就是把上面嘚上拉电阻怎么接也换成一个开关,当要输出高电平时上面的开关通,下面的开关断;而要输出低电平时则刚好相反。比起oc或者od来说这样的推挽结构高、低电平驱动能力都很强。如果两个输出不同电平的输出口接在一起的话就会产生很大的电流,有可能将输出口烧壞而上面说的oc或od输出则不会有这样的情况,因为上拉电阻怎么接提供的电流比较小如果是推挽输出的要设置为高阻态时,则两个开关必须同时断开(或者在输出口上使用一个传输门)这样可作为输入状态,avr单片机的一些io口就是这种结构

2.AVR单片机IO口的结构分析

AVR的IO是真正雙向IO结构,由于大部分网友都是从标准51转过来的受标准51的准双向IO和布尔操作概念影响,没能掌握AVR的IO操作所以有必要撰文说明一下,其實采用真正双向IO结构的新型MCU很多常用的有 增强型51,PIC,AVR等

先简单的回顾一下标准51的准双向IO结构

这种准双向IO结构的特点是

1 输出结构类似 OC门,輸出低电平时内部NMOS导通,驱动能力较强(800uA);输出高电平靠内部上拉电阻怎么接驱动能力弱(60uA)。

2 永远有内部电阻怎么接上拉(P0口除外)高电平輸出电流能力很弱,所以即使IO口长时间短路到地也不会损坏IO口

(同理IO口低电平输出能力较强,作低电平输出时不能长时间短路到VCC)

3 作输入时,洇为OC门有"线与"特性,必须把IO口设为高电平(所以按键多为共地接法)

4 作输出时输出低电平可以推动LED(也是很弱的),输出高电平通常需要外接缓冲電路(所以LED多为共阳接法)

5 软件模拟 OC结构的总线反而比较方便-----例如 IIC总线

* P0口比较特殊做外部总线时,是推挽输出做普通IO时没有内部上拉电阻怎么接,所以P0口做按键输入需要外接上拉电阻怎么接

* OC门:三极管的叫集电极开路,场效应管的叫漏极开路简称开漏输出。具备"线与"能力,囿0得0

* 为什么设计成输出时高电平弱,低电平强----是考虑了当年流行的TTL器件输入特性

相信我们大多数人都接触过51单片机51单片机的I/O口是准双姠I/O口。其实这种说法是不严谨的我们知道,51单片机有4个I/O口分别是P0、P1、P2、P3,这4个I/O口的结构并不完全一致其中P0口是标准的双向I/O口,而P1、P2、P3则是准双向I/O口

关于准双向I/O口和双向I/O口的区别请看另一篇文章“准双向I/O口和标准双向I/O口的区别”

AVR单片机的I/O口是标准的双向I/O口,它的IO结构僦就比51的I/O口复杂多了单是控制端口的寄存器就有3个 PORTx(数据寄存器)、DDRx(数据方向寄存器)、PINx(端口输入引脚);另外还有一个SFIOR(特殊功能I/O寄存器),这个寄存器中的PUD位控制全部I/O口的上拉电阻怎么接是允许还是被禁止

下图是AVR单片机通用I/O口结构示意图:

从图中可以看出,每组I/O口配备三个8位寄存器它们分别是数据方向寄存器DDRx,数据寄存器PORTx和输入引脚寄存器PINx(x表示端口序号)。I/O口的工作方式和表现特征由这3个I/O口寄存器控制

数据方向寄存器DDRx用于控制I/O口的输入输出方向,即控制I/O口的工作方式为输出方式还是输入方式

当DDRx=1时,I/O口处于输出工作方式此时数据寄存器PORTx中的数据通过一个推挽电路输出到外部引脚,如下图AVR的输出采用推挽电路提高了I/O口的输出能力,当PORTx=1时I/O引脚呈现高电平,同时可提供输出20mA的电流;而当PORTx=0时I/O引脚呈现低电平,同时可吸纳20mA电流因此,AVR的I/O在输出方式下提供了比较大的驱动能力可以直接驱动LED等小功率外围器件。

当DDRx=0时I/O处于输入工作方式。此时引脚寄存器PINx中的数据就是外部引脚的实际电平通过读I/O指令可将物理引脚的真实数据讀入MCU。此外当I/O口定义为输入时(DDRx=0),通过PORTx的控制可使用或不使用内部的上拉电阻怎么接,如下图:

AVR单片机通用I/O端口的主要特点为:

双姠可独立位控的I/O口

ATmega16的PA、PB、PC、PD四个端口都是8位双向I/O口每一位引脚都可以单独的进行定义,相互不受影响如用户可以在定义PA口第0、2、3、4、5、6位用于输入的同时定义第1、7位用于输出,互不影响

可控制的引脚内部上拉电阻怎么接

每一位引脚内部都有独立的,可通过编程设置的设定为上拉有效或无效的内部上拉电阻怎么接。当I/O口被用于输入状态且内部上拉电阻怎么接被激活(有效)时,如果外部引脚被拉低则构成电流源输出电流(uA量级)。

DDRx可控的方向寄存器

AVR的I/O端口结构同其它类型单片机的明显区别是,AVR采用3个寄存器来控制I/O端口一般单爿机的I/O仅有数据寄存器和控制寄存器,而AVR还多了一个方向控制器用于控制I/O的输入输出方向。由于输入寄存器PINx实际不是一个寄存器而是┅个可选通的三态缓冲器,外部引脚通过该三态缓冲器与MCU的内部总线连接因此,读PINx时是读取外部引脚上的真实和实际逻辑值实现了外蔀信号的同步输入。这种结构的I/O端口具备了真正的读-修改-写(Read-Modify-Write)特性。

AVR单片机通用I/O口设计注意事项:

数据寄存器PORTx和数据方向寄存器DDRx为读/ 寫寄存器而端口输入引脚PINx为只读寄存器。

但是需要特别注意的是对PINx 寄存器某一位写入逻辑"1“ 将造成数据寄存器相应位的数据发生"0“ 与“1“ 的交替变化。

当寄存器MCUCR 的上拉电阻怎么接禁止位PUD置位时所有端口引脚的上拉电阻怎么接都被禁止

在 高阻态和输出高电平 两种状态之間进行切换时,上拉电阻怎么接使能或输出低电平这两种模式必然会有一个发生编写程序时要注意两者的顺序。

通常上拉电阻怎么接使能是完全可以接受的,因为高阻状态下强高电平输出还是上拉输出都是可以接受的

如果使用情况不是这样,可以通过置位SFIOR 寄存器的PUD 来禁止所有端口的上拉电阻怎么接

在上拉输入和输出低电平之间切换也有同样的问题。

用户必须选择高阻态或输出高电平作为中间步骤

鈈论如何配置DDxn,都可以通过读取PINxn 寄存器来获得引脚电平

PINxn寄存器的各个位与其前面的锁存器组成了一个同步器

这样就可以避免在内部时钟狀态发生改变的短时间范围内由于引脚电平变化而造成的信号不稳定。

1 高阻态 多用于高阻模拟信号输入,例如ADC数模转换器输入,模拟比较器输入

2 弱上拉状态(Rup=20K~50K)输入用。为低电平信号输入作了优化省去外部上拉电阻怎么接,例如按键输入低电平中断触发信号输入

3 推挽强输絀状态,驱动能力特强(>20mA),可直接推动LED而且高低驱动能力对称.

实验时,尽量不要把管脚直接接到GND/VCC,当设定不当IO口将会输出/灌入 80mA(Vcc=5V)的大电流,导致器件损坏。

1、通常要使能内部上拉电阻怎么接悬空(高阻态)将会很容易受干扰。(表面看好像是51的抗干扰能力强是因为51永远有内部电阻怎麼接上拉,)

2、尽量不要让输入悬空或模拟输入电平接近VCC/2将会消耗太多的电流,特别是低功耗应用场合------CMOS电路的特点

3、如果先前I/O口为输出状態设置为输入状态后,必须等待1个时钟周期后才能正确的读到外部引脚PINx的值

4、功能模块(中断,定时器)的输入可以是低电平触发也可鉯是上升沿触发或下降沿触发。

5、用于高阻模拟信号输入切记不要使能内部上拉电阻怎么接,影响精确度例如ADC数模转换器输入,模拟比較器输入

采用必要的限流措施,例如驱动LED要串入限流电阻怎么接

复位时内部上拉电阻怎么接将被禁用如果应用中(例如电机控制)需要严格嘚电平控制,请使用外接电阻怎么接固定电平

作输出的依然维持状态不变

作输入的,一般无效但如果使能了第二功能(中断使能),其输叺功能有效例如 外部中断的唤醒功能。

AVR的C语言基于ANSI C没有像51那样扩展了位操作(布尔操作),虽然汇编指令里面有SBI/CBI/SBIC/SBIS指令 所以需要采用 位逻輯运算来实现,这是必须要掌握的

IO口和功能寄存器的操作方法一样,但对于部分功能寄存器的读写有特殊要求,请参看手册

不必考虑代碼效率的问题,如果可能GCCAVR会自动优化为SBI/CBI/SBIC/SBIS指令,跟汇编的效率是一样的。

(这标准头文件定义了MCU的所有官方定义(包括寄存器位,中断入口等)但管脚的第二功能没有定义)

假设PA口驱动LED的负极,低电平灯亮

PORTA=0xFF; //输出高电平--------马上被熄灭了时间很短(1个指令不到uS时间),灯闪了一下眼睛无法察觉

但要是这个IO口是控制炸药包的点火信号呢?工控场合要考虑可靠性的问题

模拟OC结构的IIC总线的技巧:

虽然AVR大多带有硬件IIC接口但也有需偠使用软件模拟IIC的情况

可以通过使用外部上拉电阻怎么接+控制DDRx的方法来实现OC结构的IIC总线。

IIC的速度跟上拉电阻怎么接有关内部的上拉电阻怎么接阻值较大(Rup=20K~50K),只能用于低速的场合

3.PIC单片机端口的概述

PIC单片机的IO口特别是第二功能的AD口,当端口被配置为AD模拟输入时误以为端口用莋普通的IO口时,去读取相应的端口然而读进来的数据不确定。(例如:用万用表测量该管脚的电压为4.0V,但是读进来的数据始终为低电平)这是由于PIC单片机的IO口有一个弱上拉(用MOS 管的开关代替),当端口被配置为AD模拟输入时弱上拉disable,则单片机的IO口呈现为高阻状态(可参照PIC单片机的DATASHEET)

在数字电路中不用的输入脚都要接固定电平,通过1k电阻怎么接接高电平或接地

l 接电组就是为了防止输入端悬空

l 减弱外部电鋶对芯片产生的干扰

l 保护cmos内的保护二极管,一般电流不大于10ma

2. 在引脚悬空时有确定的状态

3.增加高电平输出时的驱动能力。

l 那要看输出口驱动的昰什么器件如果该器件需要高电压的话,而输出口的输出电压又不够就需要加上拉电阻怎么接。

l 如果有上拉电阻怎么接那它的端口在默认值为高电平你要控制它必须用低电平才能控制如三态门电路三极管的集电极或二极管正极去控制把上拉电阻怎么接的电流拉下来成為低电平。反之

l 尤其用在接口电路中,为了得到确定的电平,一般采用这种方法,以保证正确的电路状态,以免发生意外,比如,在电机控制中,逆变橋上下桥臂不能直通,如果它们都用同一个单片机来驱动,必须设置初始状态.防止直通!

l 上拉就是将不确定的信号通过一个电阻怎么接嵌位在高電平!电阻怎么接同时起限流作用!下拉同理!

l 上拉是对器件注入电流,下拉是输出电流

l 弱强只是上拉电阻怎么接的阻值不同没有什么嚴格区分

l 对于非集电极(或漏极)开路输出型电路(如普通门电路)提升电流和电压的能力是有限的,上拉电阻怎么接的功能主要是为集電极开路输出型电路输出电流通道

3、为什么要使用拉电阻怎么接:

l 一般作单键触发使用时,如果ic本身没有内接电阻怎么接为了使单键維持在不被触发的状态或是触发后回到原状态,必须在ic外部另接一电阻怎么接

l 数字电路有三种状态:高电平、低电平、和高阻状态,有些应用场合不希望出现高阻状态可以通过上拉电阻怎么接或下拉电阻怎么接的方式使处于稳定状态,具体视设计要求而定!

l 一般说的是i/o端口有的可以设置,有的不可以设置有的是内置,有的是需要外接i/o端口的输出类似与一个三极管的c,当c接通过一个电阻怎么接和电源连接在一起的时候该电阻怎么接成为上c拉电阻怎么接,也就是说如果该端口正常时为高电平,c通过一个电阻怎么接和地连接在一起嘚时候该电阻怎么接称为下拉电阻怎么接,使该端口平时为低电平作用吗:

比如:当一个接有上拉电阻怎么接的端口设为输如状态时,他的常态就为高电平用于检测低电平的输入。

l 上拉电阻怎么接是用来解决总线驱动能力不足时提供电流的一般说法是拉电流,下拉電阻怎么接是用来吸收电流的也就是你同学说的灌电流

线驱动器是一个源电流输出器件。在导通状态时线驱动器输出为电源(vcc);在關断状态时,输出悬空因此,线驱动器需要一个灌电流输入接口下面表格中给出了一个简单的线驱动器的原理图。差动输出(欧姆龙稱为线性驱动输出)线性驱动输出就是根据rs-422a的数据输送回路可通过双股搅合线电缆进行长距离输送

集电极开路电路是灌电流输出器件。茬关断状态时集电极开路输出连到地;在导通状态时,集电极开路输出悬空因此,集电极开路输出需要一个源电流输入接口下面表格中给出了一个简单的集电极开路输出电路的原理图。

推挽式输出结合了线驱动与集电极开路输出在关断状态时,推挽式输出接地;在導通状态时推挽式输出连到电源(vcc)。推挽输出(欧姆龙称为互补输出)输出回路有2种即npn与pnp2种晶体管输出。根据输出信号h或l2种晶体管输出互相交叉进行on或off动作,使用时正电源,0v分别为吸合拉下互补输出是输出电流流出或流入2种动作,特征是信号的上升、下降速度赽可进行导线的长距离延长。可与开路集电极输入机器(npn/pnp)连接另外还可以连接到电压输入机器上。但是为了能更好的发挥未来的性能一般推荐在电压输入机器上使用电压输入的编码器。

51系列I/O口上拉电阻怎么接使用点滴 评分:

按常规在51端口(P1、P2、P3)某位用作输入时,必须先向对应的锁存器写入1使FET截止。一般情况是这样吔有例外。所谓IO口内部与电源相连的上拉电阻怎么接而非一常规线性电阻怎么接实质上,该电阻怎么接是由两个场效应管并联在一起:┅个FET为负载管其阻值固定;另一个FET可工作在导通或截止两种状态(姑且叫可变FET)。使其总电阻怎么接值变化近似为0或阻值较大(20千欧--40千歐)两种情况当和端口锁存器相连的FET由导通至截止时,该阻值.......................

0 0

为了良好体验不建议使用迅雷下载

51系列I/O口上拉电阻怎么接使用点滴

会员箌期时间: 剩余下载个数: 剩余C币: 剩余积分:0

为了良好体验,不建议使用迅雷下载

为了良好体验不建议使用迅雷下载

0 0

为了良好体验,鈈建议使用迅雷下载

您的积分不足将扣除 10 C币

为了良好体验,不建议使用迅雷下载

开通VIP会员权限免积分下载

您因违反CSDN下载频道规则而被鎖定帐户,如有疑问请联络:!

单片机最小系统P0口接10K排阻给P0口賦0值,怎么测出来是5V电压 [问题点数:40分]

本系列文章讲述了基于proteus仿真的51<em>单片机</em>学习,内容全面不仅讲解电路原理,还讲解了<em>单片机</em>c语言实例丰富,内容全面
AT89S5152的P0口为什么要接一个上拉电阻怎么接,原理解释非常清楚
上电复位时,内存和大多数寄存器默认值是0只是IO(P0,P1,P2,P3,P4等幾个输入输出)不是寄存器也不是内存,它们默认值一般是0xFF, 外部电路对它们的上电黙认值有影响如外面接下弱拉电阻怎么接,则上电时自動被下拉成低电平了通常不会有这种情况,也就是说通常它们上电时是在高电平. 特殊功能寄存器上电时的黙认状态是什么要查相关的手冊并非都是黙认为0
 1.P0作为地址数据总线时,V1和V2是一起工作的,构成推挽结构高电平时,V1打开V2截止;低电平时,V1截止V2打开。这种情況下不用外接上拉电阻怎么接而且,当V1打开,V2截止,输出高电平的时候,因为内部电源直接通过V1输出到P0口线上,因此驱动能力(电流)可以很大,这就是為什么教科书上说可以"驱动8个TTL负载"的原因。 2.P0作为一般端口时V1就永远的截止,V2根据输出数据0导通和1
?? P0口作为I/O口输出的时候时输出低電平为0 输出高电平为高组态(并非5V相当于悬空状态)。也就是说P0 口不能真正的输出高电平给所接的负载提供电流,因此必须接上拉电阻怎么接(一电阻怎么接连接到VCC)由电源通过这个上拉电阻怎么接给负载提供电流。
1那么导通的pn结会把该端口拉低,如过此时恰好读這个端口会将“1”误读成“0”,为了避免这种错误可以用一条指令:ORL P0,A 即将<em>p0</em>口锁存器中的数据,和累加器A中的数据"逻辑或"这样的数据僦不会错了。      中断的一个问题:中断有两种方
以后使用P0口时切记加上拉电阻怎么接今天做ADC0804仿真时忘了在P0口加上拉电阻怎么接,结果始终昰错的最后发现后终于正常了,切记!!
一、电阻怎么接类模拟信号的检测 思路:对于电阻怎么接类的模拟信号我们可以通过对电容充电,把电阻怎么接值转变为时间值并对改时间值进行测量和计算,从而获得电阻怎么接值或其他我们需要的结果 1、测量原理 当对RC电蕗进行充电时,如果<em>电压</em>、电容都不变化而且RC的时间常数又足够大,那么我们就可以认为电阻怎么接之比等于充电时间之比K=R1/R2=T1/T2。 电容充電曲线 2、电路说明 温度检
均可以前用510的也可以但是刚才用1k的上拉电阻怎么接,接12864数据端时12864不能将数据传回P0,P0一直为0xff换了个<em>10k</em>的12864能将数據传回来。因此我建议大家以后在用P0口时上拉电阻怎么接最好选大点的,如<em>10k</em>这样外设能有较大的逆向电流返回,也就是说外设能将數据传回来了。
本系列文章讲述了基于proteus仿真的51<em>单片机</em>学习内容全面,不仅讲解电路原理还讲解了<em>单片机</em>c语言,实例丰富内容全面。
P0ロ是一个三态双向口(地址/数据分时复用, 通用I/O口)结构如图,当控制信号为高电平“1”时P0口作地址/数据分时口的时候。分两种(從P0输出地址或者数据从P0输入数据),电平为1的时候使转换开关MUX把反相器4的输出端与VT1接通,同时与门&amp;amp;(3)被打开P0高电平“1”    1
读取 输入 信息 1 或者 0   解释二: 1、I/O口的输出是对电流而言的,高电平输出就是输出(或拉出)电流低电平输出就是输入(或灌入)电流,一般C51<em>单片机</em>1狀态为高电平状态
实验三(硬件实验):I/O 接口实验 一、实验要求: 将 P0 作为输出口连接 8 个 LED,编写程序使 LED 循环点亮。(LED1 亮,其余灭->LED2 亮,其余灭……如此循环 5 次后,进行全灭->全亮闪烁时间间隔为 500ms)。 二、实验目的 1、学习<em>单片机</em>的 I/O 口使用方法; 2、学习延时程序的编写和使用; 3、熟悉实验电路原理图学习<em>单片机</em>硬件编程方法。 三、
准双向口只能有效的读取0而对1则是采用读取非零的方式,就是读入的时候要先向io仩写1再读。 真正的双向口正如其名就是真正的双向io不需要任何预操作可直接读入读出。
趣讲51<em>单片机</em>之P1P2P3口深入讲解 一、P1口 P1口是4组Parallel Ports中最简單的其结构图如下: 与P0口的区别是:由于没有端口复用功能,所以P0口的V1变成了一个上拉电阻怎么接。 由于内部就有上拉电阻怎么接所以,作为GPIO时P1口不需要接上拉电阻怎么接,当然您接了也没关系啦,就相当于两个上拉电阻怎么接并联嘛 读端口、读引脚、写功能茬P0中已经讲得非常详细了,此处略个一
P0口作为I/O口输出的时候时输出低电平为0 输出高电平为高组态(并非5V,相当于悬空状态也就是说P0 口鈈能真正的输出高电平)。给所接的负载提供电流因此必须接上拉电阻怎么接(一电阻怎么接连接到VCC),由电源通过这个上拉电阻怎么接给负载提供电流   P0作输入时不需要上拉电阻怎么接,但要先置1因为P0口作一般I/O口时上拉场效应管一直截止,所以如果不置1下拉场效应管会导通,永远只能读到0因此在输
双向口与准双向口的区别主要是:准双向口I/O口操作时做数据输入时需要对其置1,否则若前一位为低電平,后一位输入的电平为高则MOS管拉不起来导致出错而双向口则不需要做此动作,因为双向口有悬浮态 准双向口就是做输入用的时候偠有向锁存器写1的这个准备动作,所以叫准双向口 真正的双向口不需要任何预操作可直接读入读出。 1:准双向一般只能用于数字输入输絀输入时为弱上拉状态(约50K上拉),端口只有两...
作者:江苏科技大学 机械工程学院 赵长金   1、51<em>单片机</em>的5大中断源:串行口中断、定时中断1、外部中断1、定时中断0、外部中断0;2、中断源的编号: 串行口中断为4、定时中断1为3、外部中断1为2、定时中断0为1、外部中断0为0;3、中断源的優先级:按以上顺序排列串行口中断最低、外部中断0最高;4、使用外部中断0和1,必须TCON寄存器设置其触发方式是低电平触发(0)
PC口接8个拨動开关K1-K8PB口接4个发光二极管LED,从C口读入开关K1-K8的位置信息(当K1-K8中任何一个接到+5V时读入逻辑‘1’接到GND时读入逻辑‘0’),并将该开关位置以16進制数据的方式点亮和熄灭L1-L4的LED(‘0’点亮‘1’熄灭)。如果同时有多个开关接到GND时仅在LED中显示开关位置数<em>最小</em>的那个16进制数(K1<em>最小</em>,K8朂大)要求在数码管上显示“8255---b”
以前写过一篇也是关于<em>单片机</em>引脚的文章,写的非常简单那时候的见解,今天再次看到<em>单片机</em>关于读引脚和端口的操作时突然又是非常的不解上网搜资料很多也都是关于<em>单片机</em>IO口结构的解释,经过一番辛苦的搜索终于是找到了关于端口囷引脚的资料下面是我自己从各个方面总理的。
所以需要从<em>电压</em>和电流两方面来做限制。注:以下数值皆根据欧姆定律计算而出1、<em>電压</em>转变为5V以内。
IO的四种工作模式:准双向、强推挽输出、高阻输入、开漏输出...
80C51<em>单片机</em>有4个8位的并行I/O接口分别是P0、P1、P2和P3。各口都是由口鎖存器、输出驱动器和输入缓冲器组成各口编址于特殊功能寄存器中,既有字节地址又有位地址对各口锁存器的读写,就可以实现口嘚输入/输出操作        当不需要外部程序存储器和数据存储器扩展时,P0口、P2口可用作通用的输入/输出口;        当需要外部程序存储器和数据存储器擴展时P0口作为分时复用
传统51<em>单片机</em>IO接口只可以作为标准双向IO接口,如果用其来驱动LED只能用灌电流的方式或是用三极管外扩驱动电路 灌電流方式:LED正极接VCC,负极接IO口IO为高电平是LED两极电平相同,没有电流LED熄灭;IO为低电平时,电流从VCC流入IOLED点亮。但是当你吧LED正极接在IO接口负极接GND时,将IO接口置于高电平LED会亮,但因为IO接口上拉能力不足而使亮度不理想可以
今天,将讲解能直接让<em>单片机</em> I/O 口 复用的芯片——74HC573(拥有八路输出的透明锁存器输出为三态门)。 所谓“三态门”即为“正常的高电平“H”(逻辑1)或低电“L”(逻辑0),又可以保持特有的高阻抗状态“Z”高阻态相当于隔断状态(电阻怎么接很大,相当于开路)指的是电路的一种输出状态,它既不是高电平也不是低电平如果高阻态再输入下一级电路的话,对下级电路无任何影响和没接一样,如果用万用表
上图为开漏输出 注:绿色为IO口  (1)当基集给高电平的时候三极管的c,e相通,电路就沿着三极管这边流通IO口输出低电平  (2)当基极给低电平的时候,三极管的c,e不相同因此电路僦走发光二极管一侧,IO口输出高电平
在51<em>单片机</em>的P0口工作在普通IO口模式下为准双向IO口。而工作在第二功能状态下时则为标准的双向IO口。甴于双向IO口的输出要求能输出高低电平,通常会采用互补推挽电路 在
8051<em>单片机</em>的4个I/O口主要有P0、P1、P2、P3。 P0口下拉能力较强;P3口有较多的复用功能; P0、P1口当访问外部存储器时可做为DB和AB口P2口一般做为通用IO口使用。P1,P2,P3具有内部上拉双向输出IO口P0口无内部上拉电阻怎么接为开漏输出,洳果做为普通IO口使用除P0口需要外加上拉电阻怎么接,其功能一致 P3口一般都具有第二功比如外部中断,串口等。 在<em>单片机</em>内部存储
單片微型计算机(Single Chip Microcomputer)简称<em>单片机</em>,是把组成微型计算机的主要功能部件(CPU、RAM、ROM、I/O口、定时/计数器、串行口等)集成在一块芯片中构成一個完整的微型计算机。 <em>单片机</em>主要面对测控对象突出的是控制功能,所以它的芯片内集成了很多面向测控对象的接口电路如ADC(Analog to Digital Converter,模数轉换器)
本系列文章讲述了基于proteus仿真的51<em>单片机</em>学习内容全面,不仅讲解电路原理还讲解了<em>单片机</em>c语言,实例丰富内容全面。
实现用ADS1115采集0~5V<em>电压</em>超出此范围时,LCD1602液晶提示报警<em>电压</em>数值显示精确到小数点后3位。四个按键分别控制采集进度VB语言软件编程实现数据实时接收,显示保存。
在<em>单片机</em>实际设计中有时会需要在电路中用到5V<em>电压</em>源,那么这个常见的实验需求有哪些办法解决呢我综合网上的资料,概括一下: 1)USB    这种方法在我们直接将<em>单片机</em>板与电脑连接调试的时候算是简单又方便的办法了,但如果我所要得到的成品不直接连接外部的器件这就得舍弃。     常用的USB/5V插头线,这是一种专门的电源线一端是USB通用接口,可以直插USB端口另一端是内正外负<em>电压</em>
I/O口指示燈及按键实验,短路块默认状态即可 8路按键由PA口读取,8 位发光二极管由PB口引出 由PA口读取按键,然后对应显示在发光二极管上
在最初嘚51系列<em>单片机</em>中,P0口:双向8位三态I/O口;P1口:准双向8位I/O口;P2口:准双向8位I/O口;P3口:准双向8位I/O口这里特别要主要准双向与双向三态I/O的区别:
51萣时器 八个按键控制八个LED 单按键控制数码管加1 点亮P0口所有LED 流水灯暗点流动 流水灯亮点流动 闪烁P0口所有LED 数码管动态扫描加1 数码管动态扫描显礻 数组流水灯 双按键控制数码管加减1
在讲上拉下拉之前先,先讲讲什么是强1强0高阻态,弱1弱0;    图一 如图可见:所谓强1就是output直接接到vcc上,这样可以保证output后的器件的高电平识别门限输出电平逻辑可以保证为1;同理,强0就是直接接地,这样可以保证输出电平逻辑可以保证為0;高阻态即处于断开状态;(如果两个开关同时闭合output到底是1还是0?理想的vcc接哪哪里就是vcc理想的vss接哪哪
大家都知道一般的并行扩展总線---地址总线,数据总线和控制总线一般是相互独立的但<em>单片机</em>由于受引脚的限制,P0口为低8位地址/数据复用口其读写时序符合intel8080控制时序。使用外部扩展时wrrd 等控制引脚不需要特殊配置,只使用指令来区分是否访问外部扩展的存储空间或者外部io设备当使用 movx等这样的指令时,<em>单片机</em>就会自动产生扩展总线做需要的时序不需要编程者编程实现。但是有一个问
整机和硬件套件,并结合套件精心编写了<em>单片机</em>從入门到精通系列教程通过讲述<em>单片机</em>原理、电路设计、应用开发软件工具、编写实验实例让读者全面接触<em>单片机</em>技术。教程编排上由淺入深循序渐进,内容力求完整、实用、趣味并存使读者在轻
作者:江苏科技大学 机械工程学院 赵长金 51<em>单片机</em>一般有两个外部中断输叺端,并允许外部中断源以低电平或负边沿两种触发方式输入中断请求信号本例就是利用一只按钮,在按下时产生的负边沿触发外部中斷1  硬件设计
有必要加,51自带的上拉电阻怎么接很小,为弱上拉,依次为了提高抗干扰性,对于矩阵电路,要在行线或列线接上拉电阻怎么接.
解决CC2530在zstackΦ无法进入P0中断的问题 最近使用CC2530控制一个超声波模块,需要使用外部中断P0接收数据定时器来计时。裸机程序没有问题移植进入ZSTACK的时候無法进入中断P0。 但是可以使用P1的中断
悬空,顾名思义就是不接任何器件啦 高阻态:无上拉和无下拉,对外表现出电平不确定性 不是所有嘚<em>单片机</em>都支持三态输出三态输出一般由寄存器控制,需进行配置 高阻态既然无确定电平,<em>怎么</em>能做输出呢把一个端口置为高阻态,相当于该端口从电路中断开(仅对输<em>出来</em>说)比如在一个通信线上挂了几个端口,将一个端口置为高阻态就意味着该端口不会影响箌该通信线的电平变化,但还是可以读取端口的电平一般高阻态...
博客文章《Windows界面编程第一篇 位图背景与位图画刷》的配套程序,示范了為对话框添加位图背景并分别用自贴图和位图画刷来完成。程序注释翔实可读性强。

我要回帖

更多关于 电阻怎么接 的文章

 

随机推荐