用VHDL易语言开关写出一个4个开关都可以控制灯的亮暗的代码

基于VHDL语言的交通灯-博泰典藏网
典藏文档 篇篇精品
基于VHDL语言的交通灯
导读:基于VHDL语言的交通灯,交通灯设计,1.设计一个交通灯控制器,模拟十字路口的红黄绿交通灯的变化情况,(1)、交通灯控制器是由时基发生电路的时钟信号模块(控制模块)以及红、黄、绿指示,交通灯工作时状态将在4个状态间循环跳变,整个交通灯则完全按照减计数器原理进行工作,交通信号灯控制器应满足两个方向的工作时序,(1)交通灯从绿变红时,我能够熟练地运用VHDL语言来设计一些集成电,基于VHDL语言的
基于VHDL语言的交通灯 设计实验报告
交通灯设计 一、实验要求及原理: 1.设计一个交通灯控制器,模拟十字路口的红黄绿交通灯的变化情况,具体要求如下: (1)用两组发光管表示2个方向的红、黄、绿灯, 设两个方向的流量相当。主、干道各设有一个红、黄、绿指示灯。 (2)当主干道通行亮绿灯时,支干道亮红灯。当支干道通行亮绿灯时,主干道亮红灯。 (3)在每次由绿灯变成亮红灯的转换过程中,要亮5秒的黄灯作为过渡。 (4)能实现十字路口东西、南北方向的红、黄、绿的指示状态。 2.原理: (1)、交通灯控制器是由时基发生电路的时钟信号模块(控制模块)以及红、黄、绿指示灯显示模块(受控模块)两个模块组成。其中时钟信号模块的输入信号有时钟脉冲信号CLK和清零信号CLR。当上升沿到来时开始清零并计数。
(2)、该实验无论是东西方向还是南北方向,都是一个减法计数器。只不过计数时还要判断红绿灯亮灭情况,再设置计数器的模值。交通灯工作时状态将在4个状态间循环跳变,设S为特殊状态的传感信号。整个交通灯则完全按照减计数器原理进行工作。 (3)、实现总体清零功能。按下后系统实现总体清零,计数器由初始状态计数,对应状态的指示灯亮。 定时模块的输入输出模块图 下如图口端出入输的块模时定 3、红、黄、绿指示灯显示模块,图中设南北向的红、黄、绿灯分别为rf,yf,gf,东西方向的红、黄、绿灯分别rm,ym,gm。它们的工作方式有些是并行进行的,南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮; 南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。交通信号灯控制器应满足两个方向的工作时序,即东西方向亮红灯的时间应该等于南北方向亮黄、绿灯时间之和,南北方向亮红灯的时间应该等于东西方向亮黄、绿灯时间之和。
其输入输出模块图如下:
信号灯受一个时钟脉冲控制,当时钟脉冲到来时,信号灯系统开始工作,先南北红灯亮,东西绿灯亮。南北红灯亮维持25秒,在南北红灯亮的同时东西绿灯也亮,并维持15秒。时间到时,在东西绿灯熄灭时,东西黄灯亮,并维持10秒。到10秒时,东西黄灯熄灭,东西红灯亮,同时,南北红灯熄灭,绿灯亮。东西红灯亮维持25秒。南北绿灯亮维持15秒,时间到时熄灭。同时南北黄灯亮,维持10秒后熄灭,这时南北红灯亮,东西绿灯亮。周而复始。 引脚设置(管脚分配): 信号名
对应器件名称
发光二级管(红)
发光二级管(绿)
发光二级管(黄)
五. 实验总结: (1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间。 (2) 红变绿时直接进行的,没有间隔时间。 (3) 主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒。 (4) 在任意时间,显示每个状态到该状态结束所需的时间。 (5) 通过这次试验,我能够熟练地运用VHDL语言来设计一些集成电子模块,并且对EDA知识更进一步的了解和掌握。
? 1.仪器仪表的发展共分为几代?每一代分别是什么? ? ? ? ? 2.智能仪器的定义、分类、基本结构。 3.智能仪器的特点 4.数据采集系统的定义、组成 5.开关量输入通道框图、脉冲信号输入通道框图。 ? 6.开关量预处理电路基本功能 ? 7.脉冲信号的主要测量方法?各自的工作原理 ? 8.单通道数据采集系统框图,各组成部分的作用。 ? 9.传感器按输出信号类型可以分为哪四种? ? 10.仪用放大器的原理图、输入输出关系式、适用场合,集成仪用放大器芯片型号(三种)。 ? 11.低通滤波器的作用是什么? ? 12.什么是MUX?什么时候要用MUX? ? 13.什么是SHA?什么时候要用SHA? ? 14.AD转换器按工作原理可以分为哪几类? ? 15.AD转换器性能指标中的分辨率、量程、量化误差 ? 16. ADC0832、AD574A、ICL7135与51单片机的连接电路、软件编程。 ? 17.多路同步数据采集系统框图、低频信号数据采集系统框图、共享放大器的多路数据采集系统框图。 ? 18.什么是开关量?一个低功率负载的驱动电路?多个低功率负载的驱动电路? ? 19.固态继电器的应用领域、继电器与单片机的接口电路 ? 20.采用固态继电器的直流电机接口电路。 ? 21.开环脉冲宽度调速系统框图。
各部分的作用、第一、二部分的实现方法。 ? 22.电机平均速度与占空比的关系。 ? 23.双向控制电机有哪几种工作状态?对应的控制代码分为是? ? 24.步进电机的应用领域、特点 ? 25.步进电机步距角计算 ? 26.单片机控制步进电机的原理框图 ? 27.步进电机脉冲控制序列的实现方法。 ? 28.三相步进电机有哪几种工作方式、控制模型?每一种工作方式下正转和反转的通电顺序? ? 29.三相步进电机与单片机的接口电路 ? 30.步进电机步数的确定方法、速度的确定方法、如何实现变速控制? ? 31.PWM的定义、作用,如何用软件方法输出PWM控制信号?PWM信号的输出接口电路。 ? 32.D/A转换器的作用、性能指标中的分辨率。 ? 33.DA转换器的输出方式有哪几种?DAC0832有哪三种工作方式? DAC0832单极性输出电路、双极性输出电路、单缓冲工作方式的电路。 ? 34.DAC0832输出三角波、锯齿波、正炫波、余炫波、电压信号的软件程序。 ? 35.人机界面接口设备有哪些? ? 36.独立式键盘、矩阵式键盘的电路、消除按键抖动的实现方法、判断是否有键按下的软件实现方法有哪几种? ? 37.LED数码管有哪两种显示方法?有什么不同?各自的显示电路、静态显示的软件包含总结汇报、教学研究、高中教育、表格模板、人文社科、出国留学、行业论文以及基于VHDL语言的交通灯等内容。本文共2页
相关内容搜索vhdl控制灯的闪烁_百度知道
vhdl控制灯的闪烁
请高手帮忙写一个vhdl程序,要求:传入s=1时,灯闪烁,s=0时,不闪烁。灯最好是每秒闪4下
我有更好的答案
--试试这个吧:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Light ISPORT (CLK,S:IN STD_LOGIC; --CLK选4Hz,或选择其他频率再通过分频产生4Hz
LOUT:OUT STD_LOGIC);--LOUT为输出,用于控制灯的闪灭END LARCHITECTRRE bhv OF Light ISBEGIN
LOUT&=CLK WHEN S='1' ELSE '0’;END
采纳率:54%
process(clk,s)beginif(clk'event and clk='1')thenif(s=1)thentemp&='1';else temp&='0';elsetemp&='0';随手写的,不一定对,你可以试试,如果错了大伙帮忙纠正。
yout&=s&clk给4HZ搞定
你的晶振频率是多大
其他1条回答
为您推荐:
其他类似问题
vhdl的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。LED三色灯可以用一个开关控制一种颜色吗?并再用一个开关一次关闭,这样就是四个开关,求连线方式_其它- 一起装修问答
短信快捷登录
请输入密码
您还可以使用合作账号登录网站:
还没有一起网帐号?10秒钟,
微信扫码&快速登录
验证即登录,未注册将自动创建一起网帐号
获取动态密码
微信账号登陆
微信扫一扫绑定/登录
LED三色灯可以用一个开关控制一种颜色吗并再用一个开关一次关闭这样就是四个开关求连线方式
微信公众平台:搜索“一起装修网”或扫描下面的二维码:
你的装修预算约 ? 万元
整体橱柜、马桶、瓷砖等
人工费+施工辅材
设计费:?元
(测量、设计、报价)
LED三色灯可以用一个开关控制一种颜色吗并再用一个开关一次关闭这样就是四个开关求连线方式
浏览719次 悬赏: 0
一个开关连续开关三次还是比较麻烦的, 另有网友在说使用双控开关,三色灯不能变色,那这样的话,还倒不如买单色的呢! 求指教!
回答该问题即可获得 2 经验值,问题被采纳即可获得 2 经验值!
我来回答&&
装修从哪入手?
从免费户型设计开始…
全部回答(0)
A:建议考虑感应灯,方便
A:楼主能不能把问题说的再清楚一点,不是非常理解。
A:不亮的两个中先开一个开关,亮着的灯是第二个开关,马上进2屋看,热的一个是第一个开关,等一段时间以后关掉,然后立刻开第二个开关
A:不亮的两个中先开一个开关,亮着的灯是第二个开关,马上进2屋看,热的一个是第一个开关,等一段时间以后关掉,然后立刻开第二个开关
A:双控开关。可以一个安装在卧室门口,一个安装在床头。都可以开关灯。
免费获取靠谱装修设计
个免费名额
我们承诺:一起装修网提供该项免费服务,绝不产生任何费用。
抢免费设计名额
每天限50个
请放心填写您的隐私将被严格保密
7年服务700万用户,中国家装十大电商平台
重复报名!
亲,您已经报过名啦,给别人留点机会呗
我们还有更多精彩活动,
向帮助了您的知道网友说句感谢的话吧!
提问期内,追加悬赏一次,可延长问题的有效期3天。悬赏越高,会吸引到越多的关注。
追加悬赏:&没有更多推荐了,
不良信息举报
举报内容:
循环灯控制器,该控制器控制红、绿、黄三个发光管循环发亮(VHDL语言)
举报原因:
原文地址:
原因补充:
最多只允许输入30个字
加入CSDN,享受更精准的内容推荐,与500万程序员共同成长!verilog hdl 一个按键控制一个LED亮。。只要这么简单的。。_百度知道
verilog hdl 一个按键控制一个LED亮。。只要这么简单的。。
我有更好的答案
按键低电平有效,led灯低电平时亮。按键按下亮,松开灭。程序较简单,为组合逻辑电路,没有按键防抖功能。module led(led,key); reg
led_ always@(key)
led_out=1'b0;
led_out=1'b1;assign
led=led_endmodule
为您推荐:
其他类似问题
verilog的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。

我要回帖

更多关于 易语言开关组件 的文章

 

随机推荐