quartus12.0国寿e家网络版版安装

&本文档主要介绍了Quartus12.0的下载&安装&破解方法,供广大电子爱好者的参考,最后还有QuartusII&12.0使用的英文参考教程供下载。由于作者水平和精力有限,本文档难免有错误和疏忽之处,欢迎大家指正。转载请说明出处,谢谢!
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&天之蓝电子工作室
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&曹晓亮
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
一.QuartusII&12.0下载
QuartusII&12.0是Altera公司今年最新推出的版本,具有比以前版本更强大的功能,编译时间明显减少,那么现在就去下载体验吧!
下载地址:
二.QuartusII&12.0安装
&&&1.下载完安装应用程序后,双击。
&&&ta=&http%3A%2F%%2Fmiddle%2F9ebffc80c77e1cd30d4f%26690& action-type=&show-slide& style=&margin: 0 padding: 0 border: 0 list-style: & /&
&&&&&&&&&&&&&&&&&&图1&Quartus&II12.0安装应用程序图标
2.双击后会出现下面的对话框
&&ta=&http%3A%2F%%2Fbmiddle%2F9ebffc80c77e1e6efd81%26690& action-type=&show-slide& style=&margin: 0 padding: 0 border: 0 list-style: & /&
&&3.点击next,同意许可条款,点击next
&&4.跳过直接点击next,注意一定要保持网络的连接,该过程是在线安装
&&5.选择软件安装途径,目标文件和暂存初始化文件最好放在同一个文件目录下
&6.选择需要安装的器件和工具,点击next
&7.确定安装途径和需要安装的器件和工具,无误后点击next,开始安装
&8.耐心等待,安装完一个器件会在其后面打一个&,当所有选择安装的器件和工&
&&具安装完后,点击finish即可(时间可能会很久哦)
三.QuartusII&12.0破解
1.下载破解文件
2.按照破解文件中破解说明进行破解
&&注意:&(1)读取网卡号的方法
&&&&&&&&&点击开始,在其搜索框中输入cmd,出现DOS界面后输入ipconfig/all
&&&&&&&&&其物理地址就是其网卡号,注意可能有很多的物理地址,一般是第一&
&&&&&&&&&个出现的物理地址就是其网卡号;
&&&&&&&&&&运行安装好了的quartusII&12.0软件,在Quartus&II&12.0的Tools菜单&
&&&&&&&&&&&下选择License&Setup,下面就有网卡号,如果有很多网卡号,一般
&&&&&&&&&&&第一个就是就是该软件需要的网卡号。
&&&&&&ta=&http%3A%2F%%2Fbmiddle%2F9ebffc80c77e2a90& action-type=&show-slide& style=&margin: 0 padding: 0 border: 0 list-style: & /&
&&&&&&&&&(2)如果破解不了,可以将X:\altera\12.0\quartus\bin下的sys_cpt.dll&&&&
&&&&&&&&&&&&&&用破解文件中sys_cpt.dll替换
四.QuartusII&12.0教程
&&&安装破解完之后,就好好欣赏该新版的软件了,继续电子设计的学习了。
&以下是可供参考的QuartusII&12.0的英文教程,有兴趣的可以看看哦!
至此介绍完毕,希望可以帮到大家。quartusII&12.0是很强大的软件,大家可&
&以详细参考其它资料,学习quartusII&12.0软件,然后自己多多摸索,相信你会&
&把该软件应用的得心应手。
相关推荐:
“如果发现本网站发布的资讯影响到您的版权,可以联系本站!同时欢迎来本站投稿!
本周热门资讯排行
商友正在看
Quartus II 网络版(9.0) 是免费的,不需要许可。Quartus II 订购版(9.0) 有30天免费试用时间。包含软件和服务包。而单独的IP Nios II 处理器下载,分开下载。订购版包括。…
FPGA设计流程…
展示基于Intel 14 nm三栅极工艺的FPGA技术,14 nmFPGA测试芯片确认了在使用业界最先进的工艺技术时,Altera获得的性能、功耗和密度优势。 号,北京Altera公司 (N…
摘要:卷积码及其Viterbi译码是现代通信系统中常用的一种信道编码方法。文中介绍了Viterbi译码算法的原理,分析了Viterbi译码器的结构,然后用Verilog语言设计了一种基于Al…
Altera公司日前宣布在FPGA浮点DSP性能方面实现了重大突破。该公司首席DSP产品规划经理Michael Parker称,Altera是第一家能够在FPGA中集成硬核IEEE 754兼容浮点运算功能的可…
在大部分嵌入式系统中,处理器和现场可编程门阵列(FPGA)完成最繁重的工作。处理器和FPGA通常单独工作,如果两种技术能够一起出色的协同工作,将形成功能更强大的嵌入式计算…安装了QUARTUS II7.2 后安装什么版本NIOS II_EEWorld电子工程世界搜索中心
搜索范围:
一周以内&&&&
搜索到约<span style="color:#项结果
安装了QUARTUS II7.2 后安装什么版本NIOS II?
本人想学习NIOS II请各位大哥们帮帮忙 lixinqing 53574 安装了QUARTUS II7.2 后安装什么版本NIOS II? NIOS II7.2 ponylabsz 51775
我也想了解,谢谢LZ. hongrui 54370
ruishisky 55972
同意二楼的
以前用过...
.cn/thread--1.html 发布时间:
我在altera 官网下载并安装了一个Nios II
9.1 Software Build Tools for Eclipse软件,但是我的quatus II的版本是Quartus II 9.1sp2 Web Edition,在我打开的nios II EDS的时候出现了以下一个错误!
请各位帮忙解决这个怎么配置!
[[i] 本帖最后由 简小韬韬 于 ...
.cn/thread--1.html 发布时间:
[url=/outgoing/release/]/outgoing/release/[/url]
[attach]37701[/attach] wanggq 156505 Quartus II/Nios II/DSP Builder/ModelSim_Altera各版本下载地址: 这个FTP是你开的吗?
.cn/thread-.html 发布时间:
如题,小弟初学SOPC
已经安装了quartus II和Nios II
Nios II能正常打开
quartus II能完成编译,可就是在quartus II环境下打开SOPC
Builder出现这样的错误提示
求助!感激不尽!
图片打开可能比较慢
[img]http://bbs./images/upload/...
.cn/thread--1.html 发布时间:
Suite)。 但从10.0版本开始,Nios II EDS推荐使用Nios II SBT for Eclipse,因此安装Nios II EDS后只带有Nios II SBT for Eclipse,Nios II IDE必须单独下载安装。[/size][/font][/color][/p][p=16, null, left][color=rgb(0, 0, 0)][font=Arial...
.cn/thread--1.html 发布时间:
。比如你用50MHZ的时钟,就设成。如果总是出现上述问题,可能和软件有关系,建议重新安装软件。注意quartus和NIOS安装版本一定要相同,不能混装。
  4.这个错误是什么原因引起,把那个sdk_arm删除后,又提示另一个地方出错。
  答:运行NIOS II IDE,点Project->Clear,重新Builde,应该可以解决问题。
  5.在SOPC中Generate出现...
.cn/thread-.html 发布时间:
我安装完Quartus 8.0后(已成功破解),利用其自带的IP生成工具生成了一个三速度以太网的IP核,同时生成了仿真文件,当我打开仿真工具Questasim运行生成的仿真脚本文件时,开始正常,当执行到do mac_wave.do时 生成波形文件变得非常慢,而且信号是一点一点加载。求教高手是什么原因,声明不是机器的问题 eeleader-mcu 269030 quartus ii 8.0 安装...
.cn/thread--1.html 发布时间:
[backcolor=rgb(238, 238, 238)][size=12px]之前装的是quartus ii 9.0,将quartus ii 9.0卸载后,安装quartus ii 11.0,安装时,卡在了勾选同意条款那个界面,导致没办法安装,我检查了路径,没有中文也没有空格;然后又下了个quartus ii 12.0,安装时也同样卡在了那个界面。不知道什么原因?难道是我的电脑不行,xp系统...
.cn/thread--1.html 发布时间:
quartus和NIOS安装版本一定要相同,不能混装。[/color]
[color=#[/color][color=#000000].这个错误是什么原因引起,把那个sdk_arm删除后,又提示另一个地方出错。[/color]
[color=#000000]答:运行NIOS II IDE,点Project->Clear,重新Builde,应该可以解决问题。[/color...
.cn/thread-.html 发布时间:
1.最近刚刚用了Quartus II 9.1新版本,在使用时我想建立一个SOPC,但怎么也建立不起来,和Quartus II 8.1不一样,好像缺少了什么!在project显示的是New component..但双击它时,系统给出一些提示,有一些warnings,意思好像提示要建立一个新的component,我创建后没有任何东西,不像Quartus II 8.1,总有CPU显示!谁能给一些提示...
.cn/thread--1.html 发布时间:
我用quartus ii 11.0版本生成了一个 pcie的ip核 然后用modelsim仿真自动生成的那个chaining dma例子 出现错误Failure: SUCCESS: BFM model not available! 然后从网上搜了一下说是由于Quartus& II版本11.0SP1的局限性,Root Port的总线功能模型(BFM)还不可用。如果你仿真这个参考设计,就会...
.cn/thread--1.html 发布时间:
进行如下操作后[attach]80040[/attach],之后就自动退出并出现了[attach]80041[/attach],不知道是什么原因啊,求高手帮助 白丁 346593 关于NIOS II软件 刚刚学习NIOS II,不知道什么原因,是不是因为破解的原因啊。我的QUARTUS用的是9.0,nios ii 用的也是9.0 白丁 346593 回复 楼主 白丁 的帖子 怎么都没有个帮助一下...
.cn/thread--1.html 发布时间:
我该怎么办?很着急……谢谢了先 8106 回复 沙发 tx_xy 的帖子 重新安装,找新的破解就可以了 eeleader 210489
你是要安装哪个版本的QUARTUS& 哦?& 看看我们有没有相应的破解版本能帮助你哦 eeleader-mcu 269030
.cn/thread--1.html 发布时间:
最近在搞SOPC,教材用的是《NIOS II那些事儿》。刚刚依葫芦画瓢,建好了一个系统,编译也通过了,但下载到开发板时,quartus弹出对话框:SOPC_T_time_limited.sof contains one or more time-limited megafunctions that supoort the OpenCore Plus feature that will not...
.cn/thread--1.html 发布时间:
我是初次接触这个软件,英文版的有点看不懂,有没有高手能帮忙搞一个,将不胜感激!或者是只要兼容vista系统的版本都可以。急求!!!!!!!!! liuchangjun Quartus II 有没有汉化版啊? 多用几次就习惯了啊。
很多软件虽然有汉化版,但汉化功能不全,现在都基本用英文版的。 jerryhehe 54524
是啊,英文版的好用,但可以去看看中文版的书呵呵...
.cn/thread--1.html 发布时间:
我在不同的网站上下载了quartus10.0 和11.0版本,安装时点击setup.exe后一点反应也没!!防火墙也关过,也试过以管理员省份运行都是一样的效果!!!
那位朋友知道这是咋回事 ???/ liukl win7 32 系统安装不了quartus ii 那就是Q2不支持该系统,原因很明显 eeleader 210489
请问这么解决这个问题,其他人的电脑和我...
.cn/thread--1.html 发布时间:
错误如下图,请问该怎么解决?[attach]73070[/attach] 超自然 322709 Quartus II 9.0 安装有错误,求助啊~~~~ 直接忽略即可! eeleader 210489
已经安好了,谢谢 超自然 322709
看一下 bleach死神 378444
我好像是终止 卸载再重新安装的
怎么都碰到这种问题 常见泽1 108278
碰到这个问题直接忽略就可以...
.cn/thread--1.html 发布时间:
[/color] renxupeng 44587 Quartus II 中的Warning (1) [color=#800000][color=#.warning: circuit may not operate.detected 46 non-operational paths clocked by clock clk44 with clock skew larger than data...
.cn/thread-.html 发布时间:
,输入这个license的存放路径。&#160;
[attach]60920[/attach]
2.3&#160;Quartus网络版安装  如果这个破解版本用起来还是有点问题的话,那我们可以先用用网络版。使用网络版不需要替换破解文件,只要安装好后添加一个官方的license就可以了。操作如下:打开Quartus II软件后,在tool菜单栏下面选择License Setup。出现下图...
.cn/thread--1.html 发布时间:
]] sven 338981 Quartus II 读写ram 无法回答
注意问题的表述 chenzhufly 57209
真的无法理解吗? sven 338981
不知俺的理解正确否:
& 你是否说,主程序读一个RAM,相当控制RAM一个(地址总线,数据总线不复用),你读出来的数据是正确的。如果用状态机扫描9个RAM,则你读出来的数据不正确,我说的对吗?
这个关键问题...
.cn/thread--1.html 发布时间:
相关结果约55,133个树莓派打造视频监控机器人Verybot...
根据自己的一些从业经验,将这些系统设计时针对波特率自适应问题做一些阐述和分享..
关于很多发烧友想从官网下载quartus的方法
<em style="color:#999;font-size:12 margin-left:10" id="authorposton13-4-13 22:49:07&nbsp
很多人在群里或者是论坛里要quartus的下载,实际上altera的官网就有,但是大家不知道具体怎么操作,那么我来简单给大家演示一下,说明一点,我只下载了网络版的,不过对于初学者来说,网络版拥有全部功能的95%,我相信也足够用了,这里写一篇简易的下载教程来给一部分不懂的人看看,如果有比我更高明的方法,我也希望大家能分享出来,一起进步!
--------------------------------------------我是华丽的分割线----------------------------------
首先进入altera的官网,网址我就不发了,百度不能吃白饭是吧,O(∩_∩)O哈哈~
说一下准备工作,你需要在altera官网注册一个账号,就是myAltera账号,怎么注册?跟注册QQ号差不多,别说你不会……
然后进去后按照下图来进行操作,首先选择“设计工具及服务”里的“设计软件”
1.jpg (18.16 KB, 下载次数: 0)
22:57 上传
然后选择软件和版本,我下的就是网络版,至于订购版我没买过,恕小弟见识浅薄
2.jpg (9.84 KB, 下载次数: 0)
22:57 上传
接下来点击页面右边的“下载软件“,看到了没i,那里有个下划线的位置
3.jpg (16.87 KB, 下载次数: 0)
22:57 上传
接下来的页面你会看到一大堆英文,好吧,别怕,这只是纸老虎,往下看。这里有两个选项,我相信大家应该都明白是什么意思了,就是选择对应自己电脑系统的版本,左面的是windows版本,右边的是linux(我感觉好像没几个人用这个,可能我见识短了吧),好吧,你用的是什么系统,那就点进去吧,好像官网提供的版本连64位机器都可以跑的。
4.jpg (15.72 KB, 下载次数: 0)
22:57 上传
好了,难点来了,我想很多新人不知道在哪下载的关键问题就在这里。上一步进行后,你会发现你到了一个新的页面,然后什么下载提示也没有,而且还是一大堆英文,别急,看这里,看到没有?哈哈,你知道该怎么做了吧……如果你还不懂,那我就没招了,我网速慢,我就不一步一步的给大家演示了。
5.jpg (8 KB, 下载次数: 0)
22:57 上传
这里多说一句:点开后下载的只是一个altera的下载器,你要运行这个下载器才能下载quartus软件。
------------------------------我又是一个华丽的分割线---------------------------------
说到这里,基本上大家应该都会下载了,那么关于怎么设置浏览器弹窗,百度吧,正所谓”师父领进门修行在个人“,坐等各位的好消息了~
good,good,good,good
图片怎么看不到
收藏先!再看看啊!
C:\Users\wzl\Desktop\5.jpg
zhiyaoyouxinjbw 发表于
图片怎么看不到
现在可以看了,抱歉,之前传的图出了问题
如果是英文怎么办啊
Silence.乌托 发表于
如果是英文怎么办啊
什么英文?页面?还是软件?页面的话,比较容易处理,软件的话……那你就坚持吧,实在不行就百度,我也是这么来的
页面啊肿么办??????????
终于装好了,还是12.0好使
Silence.乌托 发表于
页面啊肿么办??????????
页面无所谓,能下载下来就行了吧,看不懂的就百度一下,如果是安装程序那我明天上午看看写一个安装教程
很好啊分享的
不错不错lz
博 彩通 / 博 彩通&
***通 / ***通&
我也先收着,赶着睡觉& && && && && &
那个,下载器有没有教程啊
{:1:}{:1:}{:1:}
似乎好像会下得。但是网速极慢极慢啊啊啊
感谢楼主,ok& && && && && && && &
很好很好,呵呵呵
站长推荐 /4
Powered byQuartus_12.0_x86破解器.rar - 下载频道
- CSDN.NET
&&&&Quartus_12.0_x86破解器.rar
Quartus_12.0_x86破解器.rar
#首先安装Quartus II 12.0软件(默认是32/64-Bit一起安装):
#用Quartus_II_12.0_x86破解器.exe破解C:\altera\12.0\quartus\bin下的sys_cpt.dll文件(运行Quartus_II_12.0_x86破解器.exe后,直接点击“应用补丁”,如果出现“未找到该文件。搜索该文件吗?”,点击“是”,(如果直接把该破解器Copy到C:\altera\12.0\quartus\bin下,就不会出现这个对话框,而是直接开始破解!)然后选中sys_cpt.dll,点击“打开”。安装默认的sys_cpt.dll路径是在C:\altera\12.0\quartus\bin下)。
#把license.dat里的XXXXXXXXXXXX 用您老的网卡号替换(在Quartus II 12.0的Tools菜单下选择License Setup,下面就有NIC ID)。
#在Quartus II 12.0的Tools菜单下选择License Setup,然后选择License file,最后点击OK。
#注意:license文件存放的路径名称不能包含汉字和空格,空格可以用下划线代替。
#备注:此软件在Windows XP和Windows 7的32/64位操作系统下都验证过了,没有问题!Windows Vista 32/64因为微软都放弃了,所以没有验证,理论上应该可以正常使用。
#仅限于学习,不要用于商业目的! 严禁贴到网上!!!
#此软件已经通过了诺顿测试,在其它某些杀毒软件下,也许被误认为是“病毒”,这是杀毒软件智能化程度不够的原因,所以只能暂时关闭之。
若举报审核通过,可奖励20下载分
被举报人:
举报的资源分:
请选择类型
资源无法下载
资源无法使用
标题与实际内容不符
含有危害国家安全内容
含有反动色情等内容
含广告内容
版权问题,侵犯个人或公司的版权
*详细原因:
您可能还需要
行业下载排行

我要回帖

更多关于 剑侠情缘网络版叁 的文章

 

随机推荐